Synopsys

Synopsys is an American electronic design automation company that focuses on silicon design and verification, silicon intellectual property and software security and quality. Products include logic synthesis, behavioral synthesis, place and route, static timing analysis, formal verification, hardware description language (SystemC, SystemVerilog/Verilog, VHDL) simulators, and transistor-level circuit simulation. The simulators include development and debugging environments that assist in the design of the logic for chips and computer systems. In recent years, Synopsys has expanded its products and services to include application security testing. Their technology is present in self-driving cars, artificial intelligence, and internet of things consumer products.

Synopsys, Inc.
TypePublic
Industry
Founded1986 in Research Triangle Park, North Carolina.
FounderDavid Gregory
Aart de Geus
HeadquartersMountain View, California, U.S.
Key people
Aart J. de Geus
(Founder, Chairman & co-CEO)
Chi-Foon Chan
(President & co-CEO)
Revenue US$3.69 billion (2020)[2]
US$664 million (2020)[2]
Number of employees
13,000[3]
DivisionsSilicon Design & Verification, Silicon Intellectual Property, Software Integrity Group
Websitesynopsys.com

History

Synopsys was founded by Aart J de Geus and David Gregory in 1986 in Research Triangle Park, North Carolina. The company was initially established as Optimal Solutions with a charter to develop and market synthesis technology developed by the team at General Electric.

Mergers and acquisitions

Over the course of the Synopsys history they have made some strategic silicon and design verification acquisitions. [4]

CoWare

CoWare, now part of Synopsys, was a supplier of platform-driven electronic system-level (ESL) design software and services. CoWare was headquartered in San Jose, California, and had offices around the world, major R&D offices in Belgium, Germany and India.

CoWare development was initiated by the Interuniversity Microelectronics Centre (IMEC) in Belgium as an internal project in 1992.[5] In 1996, CoWare spun off as an independent company.[6] CoWare is one of the founding member of SystemC language.[7] In 2005, CoWare acquired the Signal Processing department from Cadence.[8] On February 8, 2010, Synopsys has announced an acquisition of CoWare.[9]

Its products included: Platform Architect, Model Designer, Model Library, Processor Designer, Signal Processing Designer and Virtual Platform Designer.

Avanti Corporation

Avanti Corporation (styled as "Avant!) was founded when several ex-Cadence employees bought the startup ArcSys, which was previously merged with Integrated Silicon Solutions (ISS),[10] gaining Avanti its DRC/LVS tool Hercules (including 3D silicon structure modeling), then bought Compass Design Automation, which had fully integrated IC design flow and ASIC libraries, especially its place and route tool, which Avanti reworked to create Saturn and Apollo II; and it also bought TMA (Technology Modeling Associates) which brought their pioneering TCAD and Proteus optical proximity correction tools. This was, by far, Synopsys' most significant and controversial acquisition. At the time Avanti was the #4 company in the EDA industry, and was struggling with a major lawsuit from Cadence for software theft.[11] Avanti was merged into Synopsys on June 6, 2002 during the litigation. Synopsys paid Cadence about $265 million more to end all litigation. Soon after the settlement, in Cadence Design Systems, Inc. v. Avant! Corp., 29 Cal. 4th 215, 57 P.3d 647, 127 Cal. Rptr. 2d 169 (2002), the California Supreme Court upheld the lower court's earlier decision. Synopsys then paid an additional $26.1 million to Silvaco to settle two of three Silvaco's suits against Meta-Software, earlier purchased by Avanti, and its president. The lawsuits were filed in 1995 and inherited by Avanti.[12]

Novas Software

Novas Software (often referred to as "Novas") was a company founded in 1996 by Dr. Paul Huang to address the ongoing problem of debugging chip designs. Novas was purchased by Taiwan-based EDA company SpringSoft in May 2008. Prior to its purchase, Novas was partly owned by SpringSoft, which developed the underlying debug technology.[13] Until 2008, Novas grew to employ over 50 people with office locations across the world, headquartered in San Jose, California. SpringSoft and Novas was acquired by Synopsys in 2012.

Novas offered debugging and visibility enhancement products that cut down on verification time. Novas' main product offerings included the Debussy Debug System, Verdi Automated Debug System and the Siloti family of Visibility Enhancement products. A 2006 study found Novas Software to be the sixth most-used EDA vendor.[14] Along with this, Novas Software topped the user satisfaction ratings with 100% of respondents in Europe, 83% in North America & 69% in Asia saying they were either "very" or "somewhat" satisfied.[15] This distinction was also awarded to Novas Software for the four years prior to 2006.

Numerical Technologies

Numerical Technologies, Inc. was a San Jose, California, United States based EDA public (NASDAQ: NMTC) company. The company was primarily known for its IP portfolio, software tools and services covering alternating Phase Shift Mask (alt-PSM) Technology providing sub-wavelength design to manufacturing solutions.

On January 10, 2000 Numerical Technologies acquired Transcription Enterprises, Inc. primarily known for its CATS software for mask data preparation,[16]

On October 27, 2000 Numerical Technologies acquired Cadabra Design Automation, Inc. (Cadabra), a provider of automated IC layout cell creation technology used to create the building blocks for standard cell, semi-custom and custom integrated circuits. Purchase price: $99 million.[17]

On March 3, 2003 it was acquired by Synopsys.

SpringSoft

SpringSoft is a software company that developed VLSI design and debugging software. The company was founded with a grant from the Taiwanese National Science Council in February 1996.

In 1997, SpringSoft established Novas Software in Silicon Valley to market Springsoft's VLSI Debugging software. SpringSoft created a custom layout tool called Laker and a US-based company called Silicon Canvas. In May 2008, SpringSoft purchased Novas Software Silicon Canvas and combined them to form the wholly owned subsidiary SpringSoft USA. SpringSoft employed over 400 people with office locations across the world.

Synopsys announced its acquisition of SpringSoft in 2012.[18]

Synplicity

Synplicity Inc. was a supplier of software solutions for design of programmable logic devices (FPGAs, PLDs and CPLDs) used for communications, military/aerospace, consumer, semiconductor, computer and other electronic systems. Synplicity's tools provided logic synthesis, physical synthesis, and verification functions for FPGA, FPGA-based ASIC prototyping, and DSP designers. Synplicity was listed on Nasdaq until it was acquired by Synopsys for $227 million[19] in a transaction finalized May 15, 2008. Synplicity was founded by Ken McElvain (Chief Technical Officer) and Alisa Yaffa (former CEO).

ARC International

ARC International PLC was the designer of ARC (Argonaut RISC Core) embedded processors, which were widely used in SoC devices for IoT, storage, digital home, mobile, and automotive applications. ARC processors have been licensed by more than 200 companies and are shipped in more than 1.5 Billion products per year.[20] ARC International was acquired by Synopsys in 2010.

The roots of ARC International date back to the early 1990s. The company was founded by Jez San and Rick Clucas to build upon the 3D accelerator technology previously developed for the Super Nintendo Entertainment System by a division of Argonaut Software. This forerunner to the ARC was originally called the Mario (Mathematical, Argonaut, Rotation & I/O) chip and later dubbed the Super FX. It went on to sell millions, at the time outselling ARM or any other RISC core.[21][22]

Following the success of the Super FX, its designers were split from the main company into a subsidiary called Multi Media Technologies Ltd (MMT). They created a new 32 bit design that would later be called ARC and marketed as the first general-purpose configurable microprocessor. Later, MMT was acquired by Argonaut Software and eventually turned into a new company called Argonaut Technologies Ltd (ATL) which was spun off as a separate company which eventually became ARC International. In 1995 Bob Terwilliger took over as ARC's first CEO. He created the company licensing strategy, commercialized the product including the acquisition of Metaware, VAutomation and Precise Software. He raised $50 million pre-IPO and took the company public in September 2000, raising an additional $250 million.

In 2018, Synopsys partnered with the PLA National Defence University to provide field-programmable gate array design training.[23]

Divisions

Synopsys has three divisions including silicon design and verification, silicon intellectual property, and software integrity.

Silicon Design and Verification

This Synopsys division focuses the design and verification of integrated circuits and designing more advanced processes and models for the manufacturing of those chips.[24]

Silicon Intellectual Property

This division of Synopsys focuses on the enabling organizations to create high-quality silicon proven intellectual property solutions for system-on-a-chip (SoC) designs.[25]

Software Integrity

In 2014, Synopsys began to expand their products and services to include software security and quality. This division helps organizations integrate security into DevOps environments, build holistic application security programs, test any software on-demand, find and fix software quality and compliance issues earlier, identify and manage open source components, and assess application security threats, risks and dependancies.[26]

Products

Synopsys has three types of products and services within the three divisions of Silicon Design & Verification,[27] Silicon Intellectual Property,[28] and Software Integrity.[29] Synopsys has a number of products and services, including:

  • Advanced Fusion Technology[30]
  • Black Duck[31]
  • Black Duck Audit Services[32]
  • BSIMM
  • Certitude
  • Code Sight[33]
  • CODE V
  • Coverity[34]
  • Custom Compiler
  • CustomSim
  • DC Explorer
  • Defensics[35]
  • Design Compiler Graphical
  • Design Compiler NXT
  • ESP
  • FineSim
  • Formality
  • Fusion Compiler
  • Fusion Design Platform
  • HAPS Prototyping
  • HSPICE
  • IC Compiler™
  • IC Compiler II™ with RedHawk™
  • Identify RTL Debugger
  • LightTools
  • LucidShape
  • Lynx Design System
  • Nanotime
  • Platform Architect Ultra
  • Polaris Software Integrity Platform™[36]
  • PrimeECO
  • PrimePower
  • PrimeYield
  • Proteus
  • QuickCap NX
  • Seeker[37]
  • RSoft
  • SilconSmart
  • Siloti
  • Simpleware™ ScanIP
  • SpyGlass
  • StarRC™
  • Synopsys eLearning
  • Synopsys Managed Services[38]
  • Synopsys Professional Services
  • Synopsys TestMAX™
  • Synplify Premiere
  • Synplify Pro
  • The PrimeTime Suite
  • Tinfoil[39]
  • Verdi
  • Verdi Advanced AMS Debug
  • Verdi HW/SW Debug
  • Verdi Performance Analyzer
  • Verdi Power-Aware Debug
  • VerIDE
  • Virtualizer
  • VCS

See also

References

  1. https://news.synopsys.com/2020-01-16-Synopsys-Joins-New-Autonomous-Vehicle-Computing-Consortium
  2. "Yahoo Finance Page for Synopsys".
  3. "Synopsys Corporate Backgrounder Spring 2018" (PDF). Synopsys.com. Retrieved 2019-11-06.
  4. "Strategic Acquisitions". www.synopsys.com. Retrieved 2020-02-03.
  5. Verkest, D.; Rompaey, K.; Bolsens, I.; Man, H. (October 1996). "CoWare—A design environment for heterogeneous hardware/software systems". Design Automation for Embedded Systems. 1 (4): 357–386. CiteSeerX 10.1.1.15.2686. doi:10.1007/BF00209910. S2CID 14111610.
  6. "CoWare (Santa Clara, Calif.) was spun out of IMEC in 1996 to market the N2C hardware-software codesign technology, which was originally developed at IMEC."
  7. Babel of languages competing for role in SoC
  8. Cadence has granted CoWare an exclusive license to sell and develop Cadence's Signal Processing Workstation (SPW) tool, and Co-Ware has taken over Cadence's ESL group.
  9. "Synopsys to Acquire CoWare, Inc". Synopsys.
  10. "Hsu Stays in Taiwan for DAC", Electronic Design News, June 19, 2000
  11. The Avant! Saga: Does Crime Pay? The inside story of a company that stole software code From Business Week
  12. Synopsys Form 8K/A July 26, 2002
  13. EETimes.com – SpringSoft, Novas agree to merge
  14. EETimes July 2006 study located here
  15. "EETimes July 2006 study with graph of findings here".
  16. "Numeritech Acquires Transcription Enterprises; Integration With IC Manufacturing Software Leader Expands Numeritech's Subwavelength Leadership Position. - Free Online Library". www.thefreelibrary.com.
  17. Numerical Technologies Acquires Cadabra Design Automation, Legal Expert magazine article of January 1, 2001
  18. Primack, Dan. "M&A: August 3, 2012". Finance.fortune.cnn.com. Retrieved 2012-08-03.
  19. Ann Steffora Mutschler (2008-03-20). "Synopsys buys Synplicity for $227M". EDN.
  20. "Overcoming the power/performance paradox in processor IP". techdesignforums.com. Retrieved 2014-08-13.
  21. Bolton, Syd. "Interview with Jez San, OBE". www.armchairempire.com. Archived from the original on 17 December 2007. Retrieved 28 December 2007.
  22. "Team from National Institute of Technology Agartala Wins Synopsys India's Analog Design Contest 2014". News-Pr.in. Retrieved 2014-11-25.
  23. Fedasiuk, Ryan; Weinstein, Emily (December 2020). "Universities and the Chinese Defense Technology Workforce". Center for Security and Emerging Technology. Retrieved 2020-12-04.
  24. "Electronic Design Automation (EDA)". www.synopsys.com. Retrieved 2020-02-03.
  25. "Synopsys DesignWare IP". www.synopsys.com. Retrieved 2020-02-03.
  26. "Synopsys Security | Software Integrity Group". www.synopsys.com. Retrieved 2020-02-03.
  27. "Electronic Design Automation (EDA)". www.synopsys.com. Retrieved 2019-07-15.
  28. "IP Accelerated Synopsys" (PDF). Synopsys.com. 2019-11-02.
  29. "Software Security & Quality Tools & Services | Synopsys". www.synopsys.com. Retrieved 2019-06-07.
  30. Diamantidis, Stelios (2020-02-06). "Machine Learning..Everywhere". Semiconductor Engineering.
  31. Bals, Fred (2020-01-29). "Taking Next Step in Your AppSec Program". Security Boulevard.
  32. Germain, Jack (2019-04-30). "Open Source Flaw Management Shows Sign of Improvement: Report". LinuxInsider.
  33. Klien, Charlie (2019-05-08). "Announcing Code Sight 2019.4". Software Integrity Blog.
  34. Gray, James (2016-09-06). "Synopsys' Coverity".
  35. Korolov, Maria (2019-03-26). "What is AI fuzzing?". CSO Online.
  36. Kerner, Sean Michael (2019-02-25). "Synopsys Launches Polaris Software Integrity Platform". eWeek.
  37. "Synopsys Redefines Interactive Application Security Testing". Cision PR Newswire. 2018-07-31.
  38. Menear, Harry (2020-01-02). "2020 vision: Synopsys predictions". Gigabit.
  39. Staff, DarkReading (2020-01-10). "Synopsys buys Tinfoil". Dark Reading.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.