Ryzen

Ryzen (/ˈrzən/ RY-zən)[7] is a brand[8] of x86-64 microprocessors designed and marketed by Advanced Micro Devices (AMD) for desktop, mobile, server, and embedded platforms based on the Zen microarchitecture. It consists of central processing units (CPUs) marketed for mainstream, enthusiast, server, and workstation segments and accelerated processing units (APUs) marketed for mainstream and entry-level segments and embedded systems applications. Ryzen is especially significant for AMD since it was a completely new design and marked the corporation's return to the high-end CPU market after many years of near total absence. This is because AMD's primary competitor Intel had largely dominated this market segment from the 2006 release of their groundbreaking Core microarchitecture (derived from their earlier Pentium 3 core, which remains true for their latest core designs as of 2021) and continued to do so for the next ten years. Also of note is the failed release of AMD's infamous Bulldozer microarchitecture in 2011, which despite being a clean sheet CPU design like Zen, had been optimized for parallel computing above all else, which was then still very much in its infancy (which led to starkly inferior real-world performance in any workload that wasn't highly threaded) and thus ended up uncompetitive in basically every area outside of raw multithreading and its use in low power APUs with integrated Radeon graphics. Cumulatively, all of this practically forced AMD to abandon the entire high-end CPU market (including desktop, laptops, and server/enterprise) until Ryzen's release in spring 2017.

Ryzen
General information
LaunchedFebruary 2017 (Released March 2, 2017)[1]
Marketed byAdvanced Micro Devices
Designed byAdvanced Micro Devices
Common manufacturer(s)
Performance
Max. CPU clock rate3.0 GHz to 4.9 GHz
Architecture and classification
Min. feature size14 nm to 7 nm
MicroarchitectureZen
Zen+
Zen 2
Zen 3
Instruction setx86-64
MMX(+), SSE1, SSE2, SSE3, SSSE3, SSE4a, SSE4.1, SSE4.2, AVX, AVX2, FMA3, CVT16/F16C, ABM, BMI1, BMI2
AES, CLMUL, RDRAND, SHA
AMD-V, AMD-Vi
Physical specifications
Transistors
  • 4.8 billion for Zen & Zen+ (per 14/12 nm 8-core "Zeppelin" die)[1]

    5.89 billion (1× CCD) or
    9.69 billion (2× CCD) for Zen 2
    (3.8 billion per 7 nm 8-core "CCD" & 2.09 billion for the 12 nm "I/O die")[2]

    6.24 billion (1x CCD) or
    10.39 billion (2x CCD) for Zen 3
    (4.15 billion per 7 nm 8-core "CCD" & 2.09 billion for the same 12 nm "I/O die")[3]
Cores
  • Mainstream: Up to 16 cores[4][5]
    HEDT: Up to 64 cores[6]
Socket(s)
History
PredecessorFX

AMD officially announced a new series of processors, named "Ryzen", during its New Horizon summit on December 13, 2016 and introduced Ryzen 1000 series processors in February 2017, featuring up to 8 cores and 16 threads, which launched on March 2, 2017.[9] The second generation of Ryzen processors, the Ryzen 2000 series, features the Zen+ microarchitecture, an incremental improvement built on a 12 nm process technology, was released in April 2018 and featured a marginal performance increase over Ryzen 1000 processors[10] that first released in 2017.[11] The third generation of Ryzen processors launched on July 7, 2019 and based on AMD's Zen 2 architecture, features more significant design improvements and a further shrink to Taiwan Semiconductor Manufacturing Company's (TSMC) 7 nm process. On June 16, 2020, AMD announced new Ryzen 3000 series XT processors with 4% higher boost clocks versus non XT processors.[12] On October 8th, 2020, AMD announced the highly anticipated Zen 3 architecture for their Ryzen 5000 series processors, featuring a 19% instructions per cycle (IPC) improvement over Zen 2, while being built on the same 7nm TSMC node.[13]

A majority of AMD's consumer Ryzen products use the Socket AM4 platform. In August 2017, AMD launched their Ryzen Threadripper line aimed at the enthusiast workstation market. AMD Ryzen Threadripper uses the larger TR4 and sTRX4 sockets, which support additional memory channels and PCI Express lanes.

In December 2019, AMD started producing first generation Ryzen products built using the second generation Zen+ architecture.[14] The most notable example is Ryzen 5 1600, with newest batches, having "AF" identifier instead of its usual "AE", being essentially a rebadged Ryzen 5 2600 with the same specifications as the original Ryzen 5 1600.

History

In the five years before the release of Ryzen, AMD's direct competitor in the x86 and x86-64 consumer-level CPU marketspace, Intel, had continued to grow its market share with the tick-tock improvement cycle of its Core series of microprocessors.[15] Since the release of its Bulldozer microarchitecture in 2011, AMD's CPUs had fallen progressively behind those from Intel in both single- and multi-core performance.[16] Despite a die shrink and several revisions of the Bulldozer architecture, performance and power efficiency failed to catch up with Intel's competing products.

Ryzen is the consumer-level implementation of the newer Zen microarchitecture, a complete redesign that marked the return of AMD to the high-end CPU market, offering a product stack able to compete with Intel at every level.[17][18] Having more processing cores, Ryzen processors offer greater multi-threaded performance at the same price point relative to Intel's Core processors.[19] The Zen architecture delivers more than 52% improvement in instructions per cycle (clock) over the prior-generation Bulldozer AMD core, without raising power use.[20] The changes to instruction set also makes it binary-compatible with Intel's Broadwell, smoothing the transition for users.[21]

Threadripper, which is geared for high end desktops (HEDT), wasn't developed as part of a business plan or a specific roadmap; instead, a small enthusiast team inside AMD saw an opportunity that something could be developed between the Ryzen and Epyc CPU roadmaps that would put the crown of performance on AMD. After some progress was made in their spare time, the project was greenlit and put in an official roadmap by 2016.[22]

Since the release of Ryzen, AMD's CPU market share has increased while Intel appears to have stagnated.[15]

Features

CPUs

CPU features table

APUs

APU features table

Product lineup

CPUs

  • Socket AM4 for Ryzen and Socket TR4 for Ryzen Threadripper.[23][24]
  • Based on first generation Zen. Ryzen CPUs based on Summit Ridge architecture. Threadripper based on Whitehaven architecture.
  • 4.8 billion transistors per 192 mm2[25] 8-core "Zeppelin" die[1] with one die being used for Ryzen and two for Ryzen Threadripper.
  • Stepping: B1[26]
  • Memory support:
    • Ryzen dual-channel: DDR4–2666 ×2 single rank, DDR4–2400 ×2 dual rank, DDR4–2133 ×4 single rank, or DDR4–1866 ×4 dual rank.[23][27]
    • Ryzen Threadripper quad-channel: DDR4–2666 ×4 single rank, DDR4–2400 ×4 dual rank, DDR4–2133 ×8 single rank, or DDR4–1866 ×8 dual rank.
  • Instructions Sets: x87, MMX, SSE, SSE2, SSE3, SSSE3, SSE4.1, SSE4.2, AES, CLMUL, AVX, AVX2, FMA3, CVT16/F16C, ABM, BMI1, BMI2, SHA.[21]
  • All Ryzen-branded CPUs (except Pro variants) feature unlocked multipliers.
  • AMD's SenseMI Technology monitors the processor continuously and uses Infinity Control Fabric to offer the following features:[23][28][29]
    • Pure Power reduces the entire ramp of processor voltage and clock speed, for light loads.
    • Precision Boost increases the processor voltage and clock speed by 100–200 MHz if three or more cores are active (five or more, in the case of Threadripper, and by 300 MHz); and significantly further when less than three are active (less than five, in the case of Threadripper).[30]
    • XFR (eXtended Frequency Range) aims to maintain the average clock speed closer to the maximum Precision Boost, when sufficient cooling is available.[31]
    • Neural Net Prediction and Smart Prefetch use perceptron based neural branch prediction inside the processor to optimize instruction workflow and cache management.
  • Ryzen launched in conjunction with a line of stock coolers for Socket AM4: the Wraith Stealth, Wraith Spire and Wraith Max. This line succeeds the original AMD Wraith cooler, which was released in mid-2016.[32] The Wraith Stealth is a bundled low-profile unit meant for the lower-end CPUs with a rating for a TDP of 65 W, whereas the Wraith Spire is the bundled mainstream cooler with a TDP rating of 95 W, along with optional RGB lighting on certain models. The Wraith Max is a larger cooler incorporating heatpipes, rated for a 140W TDP.
Model Release date
and price
Fab Chiplets Cores
(threads)
Core config[lower-roman 1] Clock rate (GHz) Cache Socket PCIe lanes[lower-roman 2] Memory support[lower-roman 3] TDP
Base PBO
1–2
(≥3)
XFR[33]
1–2
L1 L2 L3
Entry-level
Ryzen 3 1200[34] July 27, 2017
US $109
GloFo
14LP
1 × CCD 4 (4) 2 × 2 3.1 3.4
(3.1)
3.45 64 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 4 MiB
per CCX
AM4 24 (16+4+4) DDR4-2667
dual-channel
65 W
Ryzen 3 Pro 1200 [35] July 27, 2017
OEM
3.1 3.4
(?)
?
Ryzen 3 Pro 1300 [36] July 27, 2017
OEM
3.5 3.7
(?)
?
Ryzen 3 1300X[37] July 27, 2017
US $129
3.5 3.7
(3.5)
3.9
Mainstream
Ryzen 5 1400 [38] April 11, 2017
US $169
GloFo
14LP
1 × CCD 4 (8) 2 × 2 3.2 3.4
(3.4)
3.45 64 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 4 MiB
per CCX
AM4 24 (16+4+4) DDR4-2667
dual-channel
65 W
Ryzen 5 Pro 1500 [39] April 11, 2017
OEM
3.5 3.7
(?)
? 2 × 8 MiB
per CCX
Ryzen 5 1500X[40] April 11, 2017
US $189
3.5 3.7
(3.6)
3.9
Ryzen 5 1600 [41] April 11, 2017
US $219
6 (12) 2 × 3 3.2 3.6
(3.4)
3.7
Ryzen 5 Pro 1600 [42] April 11, 2017
OEM
3.2 3.6
(?)
?
Ryzen 5 1600X [43] April 11, 2017
US $249
3.6 4.0
(3.7)
4.1 95 W
Performance
Ryzen 7 1700 [44] March 2, 2017
US $329
GloFo
14LP
1 × CCD 8 (16) 2 × 4 3.0 3.7
(3.2)
3.75 64 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 8 MiB
per CCX
AM4 24 (16+4+4) DDR4-2667
dual-channel
65 W
Ryzen 7 Pro 1700 [45] March 2, 2017
OEM
3.4 3.8
(?)
?
Ryzen 7 1700X [46] March 2, 2017
US $399
3.4 3.8
(3.5)
3.9 95 W
Ryzen 7 1800X [47] March 2, 2017
US $499
3.6 4.0
(3.7)
4.1
High-end desktop (HEDT)
Ryzen Threadripper 1900X [48] August 31, 2017
US $549
GloFo
14LP
2 × CCD[lower-roman 4] 8 (16) 2 × 4 3.8 4.0
(3.9)
4.2 64 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 8 MiB
per CCX
TR4 64 (60+4) DDR4-2667
quad-channel
180 W
Ryzen Threadripper 1920X [49] August 10, 2017
US $799
4 × CCD 12 (24) 4 × 3 3.5 4.0 4.2 4 × 8 MiB
per CCX
Ryzen Threadripper 1950X [50] August 10, 2017
US $999
16 (32) 4 × 4 3.4 4.0
(3.7)
4.2
  1. Active Core Complexes (CCX) × Active cores per CCX.
  2. PCIe lane count includes 4 lanes used for connectivity to the chipset.
  3. Official Support per AMD. CPU's are unlocked for different memory speeds.
  4. Processor package actually contains 4 CCD to provide structural support to the integrated heat spreader (IHS).

CPUs

The first Ryzen 2000 CPUs, based on the 12 nm Zen+ microarchitecture, were announced for preorder on April 13, 2018[51] and launched six days later. Zen+ based Ryzen CPUs are based on Pinnacle Ridge architecture,[52] while Threadripper CPUs are based on the Colfax microarchitecture. The first of the 2000 series of Ryzen Threadripper products, introducing Precision Boost Overdrive technology,[31] followed in August. The Ryzen 7 2700X was bundled with the new Wraith Prism cooler.

Model Release date
and price
Fab Chiplets Cores
(threads)
Core Config[lower-roman 1] Clock rate (GHz) Cache Socket PCIe
lanes[lower-roman 2]
Memory
support
TDP
Base PB2 L1 L2 L3
Entry-level
Ryzen 3 1200 AF
(12 nm refresh)[54]
April, 2020
US $60
GloFo
12LP (14LP+)
1 × CCD 4 (4) 2 × 2 3.1 3.4 64 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 4 MiB
per CCX
AM4 24 (16+4+4) DDR4-2933
dual-channel
65 W
Ryzen 3 2300X [55] September 10, 2018
OEM
1 × 4 3.5 4.0 8 MiB
Mainstream
Ryzen 5 2500X [56] September 10, 2018
OEM
GloFo
12LP (14LP+)
1 × CCD 4 (8) 1 × 4 3.6 4.0 64 KiB inst.
32 KiB data
per core
512 KiB
per core
8 MiB AM4 24 (16+4+4) DDR4-2933
dual-channel
65 W
Ryzen 5 2600E [57] September 2018
OEM
6 (12) 2 × 3 3.1 4.0 2 × 8 MiB
per CCX
DDR4-2667
dual-channel
45 W
Ryzen 5 1600 AF
(12 nm refresh)[58]
October 11, 2019
US $85
3.2 3.6 DDR4-2933
dual-channel
65 W
Ryzen 5 2600 [59] April 19, 2018
US $199
3.4 3.9
Ryzen 5 2600X [60] April 19, 2018
US $229
3.6 4.2 95 W
November 23, 2018
UK £221.99
Performance
Ryzen 7 2700E [61] September 11, 2018
OEM
GloFo
12LP (14LP+)
1 × CCD 8 (16) 2 × 4 2.8 4.0 64 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 8 MiB
per CCX
AM4 24 (16+4+4) DDR4-2667
dual-channel
45 W
Ryzen 7 2700 [62] April 19, 2018
US $299
3.2 4.1 DDR4-2933
dual-channel
65 W
November 23, 2018
UK £285.49
Ryzen 7 Pro 2700 [63] April 2018
OEM
3.2 4.1
Ryzen 7 Pro 2700X [64] September 6, 2018
OEM
3.6 4.1 95 W
Ryzen 7 2700X [65] April 19, 2018
US $329
3.7 4.3 105 W
High-end desktop (HEDT)
Ryzen Threadripper 2920X [66] October 2018
US $649
GloFo
12LP (14LP+)
2 × CCD 12 (24) 4 × 3 3.5 4.3 64 KiB inst.
32 KiB data
per core
512 KiB
per core
4 × 8 MiB
per CCX
TR4 64 (60+4) DDR4-2933
quad-channel
180 W
Ryzen Threadripper 2950X [67] August 31, 2018
US $899
16 (32) 4 × 4 3.5 4.4
Ryzen Threadripper 2970WX [68] October 2018
US $1299
4 × CCD 24 (48) 8 × 3 3.0 4.2 8 × 8 MiB
per CCX
250 W
Ryzen Threadripper 2990WX [69] August 13, 2018
US $1799
32 (64) 8 × 4 3.0 4.2
  1. Active Core Complexes (CCX) × Active cores per CCX.
  2. PCIe lane count includes 4 lanes used for connectivity to the chipset.[53]

APUs

Desktop

In January 2018, AMD announced the first two Ryzen desktop APUs with integrated Radeon Vega graphics under the Raven Ridge codename. These were based on first generation Zen architecture. The Ryzen 3 2200G and the Ryzen 5 2400G were released in February.[70]

Model Release Date
& Price
Process CPU GPU Socket PCIe lanes Memory
support
TDP
(W)
Stock cooler (box)[lower-alpha 1] Box Number Part Number
Cores
(threads)
Clock rate (GHz) Cache[lower-roman 1] Model Config[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
Ryzen 3 2200GE[72] April 19, 2018
OEM
GloFo
14LP
4 (4) 3.2 3.6 64 KiB inst.
32 KiB data
per core
512 KB
per core
4 MB RX Vega 8 512:32:16
8 CU
1100 MHz 1126 AM4 16 (8+4+4) DDR4-2933
dual-channel
35 N/A N/A YD2200C6M4MFB
Ryzen 3 Pro 2200GE [73] May 10, 2018
OEM
YD220BC6M4MFB
Ryzen 3 2200G [74] February 12, 2018
US $99
3.5 3.7 65 Wraith Stealth YD2200C5FBBOX YD2200C5M4MFB
Ryzen 3 Pro 2200G [75] May 10, 2018
OEM
N/A N/A YD220BC5M4MFB
Ryzen 5 2400GE [76] April 19, 2018
OEM
4 (8) 3.2 3.8 RX Vega 11 704:44:16
11 CU
1250 MHz 1760 35 YD2400C6M4MFB
Ryzen 5 Pro 2400GE [77] May 10, 2018
OEM
YD240BC6M4MFB
Ryzen 5 2400G [78] February 12, 2018
US $169
3.6 3.9 65 Wraith Stealth YD2400C5FBBOX YD2400C5M4MFB
Ryzen 5 Pro 2400G[79] May 10, 2018
OEM
N/A N/A YD240BC5M4MFB
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.[71]
  2. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
Mobile

In May 2017, AMD demonstrated a Ryzen mobile APU with four Zen CPU cores and Radeon Vega-based GPU.[80] The first Ryzen mobile APUs, codenamed Raven Ridge, were officially released in October 2017.[81]

  • 4.95 billion[82] transistors on a 210 mm2 die,[82] based on a modified 14 nm Zeppelin die where four of the cores are replaced by an integrated fifth-generation GCN-based GPU.
  • Precision Boost 2[52]
  • 16 external PCIe 3.0 lanes (four each to chipset and M.2 socket; eight to a PCIe slot). 16 internal PCIe 3.0 lanes for the integrated GPU and on-board input/output (I/O). In 2019, AMD released some new dual core Zen mobile parts branded as 300 or 3000.
Model Release
date
Process CPU GPU Socket PCIe lanes Memory support TDP Part number
Cores
(threads)
Clock rate (GHz) Cache[lower-roman 1] Model Config[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
Ryzen 3 2200U[84] January 8, 2018 GloFo
14LP
2 (4) 2.5 3.4 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB Vega 3 192:12:4
3 CU [85]
1100 MHz 422.4 FP5 12 (8+4) DDR4-2400
dual-channel
12–25 W YM2200C4T2OFB
Ryzen 3 3200U[86] January 6, 2019 2.6 3.5 1200 MHz 460.8 YM3200C4T2OFG
Ryzen 3 2300U[87] January 8, 2018 4 (4) 2.0 3.4 Vega 6 384:24:8
6 CU [88]
1100 MHz 844.8 YM2300C4T4MFB
Ryzen 3 Pro 2300U[89] May 15, 2018 [90] YM230BC4T4MFB
Ryzen 5 2500U[91] October 26, 2017[91] 4 (8) 3.6 Vega 8 512:32:16
8 CU [92]
1126.4 YM2500C4T4MFB
Ryzen 5 Pro 2500U[93] May 15, 2018 [90] YM250BC4T4MFB
Ryzen 5 2600H[94] September 10, 2018[95] 3.2 DDR4-3200
dual-channel
35–54 W YM2600C3T4MFB
Ryzen 7 2700U[96] October 26, 2017[96] 2.2 3.8 Vega 10 640:40:16
10 CU [97]
1300 MHz 1664 DDR4-2400
dual-channel
12–25 W YM2700C4T4MFB
Ryzen 7 Pro 2700U[98] May 15, 2018 [90] YM270BC4T4MFB
Ryzen 7 2800H[94] September 10, 2018[95] 3.3 Vega 11 704:44:16
11 CU
1830.4 DDR4-3200
dual-channel
35–54 W YM2800C3T4MFB
  1. AMD in its technical documentation uses KB, which it defines as Kilobyte and as equal to 1024 bytes, and MB, which it defines as Megabyte and as equal to 1024 KB.[83]
  2. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
Great Horned Owl

In February 2018, AMD announced the V1000 series of embedded Zen+ Vega APUs, based on the Great Horned Owl architecture, with four SKUs.[99]

Model Release
date
Process CPU GPU Memory
support
Ethernet TDP
(W)
Junction
temperature
(°C)
Cores
(threads)
Clock rate (GHz) Cache[lower-roman 1] Model Config[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
V1500B[100] December 2018 GloFo
14LP
4 (8) 2.2 N/A 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB N/A DDR4-2400
dual-channel
2× 10GbE 12–25 0–105
V1780B[100] 3.35 3.6 DDR4-3200
dual-channel
35–54
V1202B[100] February 2018 2 (4) 2.3 3.2 RX Vega 3 192:12:16
3 CU
1000 MHz 384 DDR4-2400
dual-channel
12–25
V1404I[100] December 2018 4 (8) 2.0 3.6 RX Vega 8 512:32:16
8 CU
1100 MHz 1126.4 −40 – 105
V1605B[100] February 2018 0–105
V1756B[100] 3.25 1300 MHz 1331.2 DDR4-3200
dual-channel
35–54
V1807B[100] 3.35 3.8 RX Vega 11 704:44:16
11 CU
1830.4
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.
  2. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
Banded Kestrel

In April 2019, AMD announced another line of embedded Zen+Vega APUs, namely the Ryzen Embedded R1000 series with two SKUs.[101]

Model Release
date
Process CPU GPU Memory
support
TDP
Cores
(threads)
Clock rate (GHz) Cache[lower-roman 1] Model Config[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost XFR L1 L2 L3
R1102G [103] February 25, 2020 GloFo
14LP
2 (2) 1.2 2.6 Un­known 64KB inst.
32 KB data
per core
512KB
per core
4MB RX Vega 3 192:12:4
3 CU
1000 MHz 384 DDR4-2400
single-channel
6 W
R1305G[103] 2 (4) 1.5 2.8 Un­known DDR4-2400
dual-channel
8-10 W
R1505G[103] April 16, 2019 2.4 3.3 Un­known 12–25 W
R1606G[103] 2.6 3.5 Un­known 1200 MHz 460.8
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.[102]
  2. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

CPUs

On May 27, 2019, at Computex in Taipei, AMD launched its third generation Ryzen processors which use AMD's Zen 2 architecture. For this generation's microarchitectures, Ryzen uses Matisse, while Threadripper uses Castle Peak. The chiplet design separates the CPU cores, fabricated on TSMC's 7FF process, and the I/O, fabricated on GlobalFoundries' 12 nm process, and connects them via Infinity Fabric.[104] The Ryzen 3000 series uses the AM4 socket similar to earlier models and is the first CPU to offer PCI Express 4.0 (PCIe) connectivity.[105] The new architecture offers a 15% instruction-per-clock (IPC) uplift and a reduction in energy usage. Other improvements include a doubling of the L3 cache size, a re-optimized L1 instruction cache, a larger micro-operations cache, double the floating point performance, improved branch prediction, and better instruction pre-fetching.[104] The 6-, 8- and 12-core SKUs became generally available on July 7, 2019, and 24-core processors were launched in November.[106]

The Ryzen Threadripper 3990X, part of Castle Peak generation of CPUs, has currently the world's largest number of both cores and threads available in consumer-oriented CPUs - 64 and 128, respectively. The competing Intel Core i9-10980XE processor has only 18 cores and 36 threads. Another competitor, the workstation-oriented Intel Xeon W-3275 and W-3275M, has 28 cores, 56 threads, and cost more when launched.

Model Release date
and price
Fab Chiplets Cores
(threads)
Core config[lower-roman 1] Clock rate (GHz) Cache Socket PCIe
lanes
Memory
support
TDP
Base Boost L1 L2 L3
Entry-level
Ryzen 3 3100[107] April 21, 2020
$99
TSMC
7FF
1 × CCD
1 × I/O
4 (8) 2 × 2 3.6 3.9 32 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 8 MiB
per CCX
AM4 24 (16+4+4) DDR4-3200
dual-channel
65 W
Ryzen 3 3300X[108] April 21, 2020
$120
1 × 4 3.8 4.3 16 MiB
Mainstream
Ryzen 5 3500 November 15, 2019
OEM (West)
Japan ¥16000[109]
TSMC
7FF
1 × CCD
1 × I/O
6 (6) 2 × 3 3.6 4.1 32 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 8 MiB
per CCX
AM4 24 (16+4+4) DDR4-3200
dual-channel
65 W
Ryzen 5 3500X[110] October 8, 2019
China ¥1099
2 × 16 MiB
per CCX
Ryzen 5 3600[111] July 7, 2019
US $199
6 (12) 3.6 4.2
Ryzen 5 Pro 3600[112] September 30, 2019
OEM
Ryzen 5 3600X[113] July 7, 2019
US $249
3.8 4.4 95 W
Ryzen 5 3600XT[114] July 7, 2020
US $249
4.5
Performance
Ryzen 7 Pro 3700[115] September 30, 2019
OEM
TSMC
7FF
1 × CCD
1 × I/O
8 (16) 2 × 4 3.6 4.4 32 KiB inst.
32 KiB data
per core
512 KiB
per core
2 × 16 MiB
per CCX
AM4 24 (16+4+4) DDR4-3200
dual-channel
65 W[lower-roman 2]
Ryzen 7 3700X[117] July 7, 2019
US $329
Ryzen 7 3800X[118] July 7, 2019
US $399
3.9 4.5 105 W
Ryzen 7 3800XT[119] July 7, 2020
US $399
4.7
Enthusiast
Ryzen 9 3900[120] October 8, 2019
OEM
TSMC
7FF
2 × CCD
1 × I/O
12 (24) 4 × 3 3.1 4.3 32 KiB inst.
32 KiB data
per core
512 KiB
per core
4 × 16 MiB
per CCX
AM4 24 (16+4+4) DDR4-3200
dual-channel
65 W
Ryzen 9 Pro 3900[121] September 30, 2019
OEM
Ryzen 9 3900X[122] July 7, 2019
US $499
3.8 4.6 105 W[lower-roman 3]
Ryzen 9 3900XT[123] July 7, 2020
US $499
4.7
Ryzen 9 3950X[124] November 25, 2019
US $749
16 (32) 4 × 4 3.5
Workstation and High-End Desktop
Ryzen Threadripper 3960X[125] November 25, 2019
US $1399
TSMC
7FF
4 × CCD
1 × I/O
24 (48) 8 × 3 3.8 4.5 32 KiB inst.
32 KiB data
per core
512 KiB
per core
8 × 16 MiB
per CCX
sTRX4 64 (60+4) DDR4-3200
quad-channel
280 W[lower-roman 4]
Ryzen Threadripper 3970X[127] November 25, 2019
US $1999
32 (64) 8 × 4 3.7 4.5
Ryzen Threadripper 3990X[128] February 7, 2020
US $3990
8 × CCD
1 × I/O
64 (128) 16 × 4 2.9 4.3 16 × 16 MiB
per CCX
Workstation
Ryzen Threadripper Pro 3945WX[129] July 14, 2020
OEM
TSMC
7FF
2 × CCD
1 × I/O
12 (24) 4 × 3 4.0 4.3 32 KiB inst.
32 KiB data
per core
512 KiB
per core
4 × 16 MiB
per CCX
sWRX8 128 DDR4-3200
octa-channel
280 W
Ryzen Threadripper Pro 3955WX[130] July 14, 2020
OEM
16 (32) 4 × 4 3.9
Ryzen Threadripper Pro 3975WX[131] July 14, 2020
OEM
4 × CCD
1 × I/O
32 (64) 8 × 4 3.5 4.2 8 × 16 MiB
per CCX
Ryzen Threadripper Pro 3995WX[132] July 14, 2020
OEM
8 × CCD
1 × I/O
64 (128) 16 × 4 2.7 4.2 16 × 16 MiB
per CCX
  1. Active Core Complexes (CCXs) × active cores per CCX.
  2. Ryzen 7 3700X may consume over 90 W under load.[116]
  3. Ryzen 9 3900X and Ryzen 9 3950X may consume over 145 W under load.[116]
  4. Ryzen Threadripper 3990X may consume over 490 W under load.[126]

The 4-, 6- and 8-core processors have one core chiplet. The 12- and 16-core processors have two core chiplets. In all cases the I/O die is the same.[104]

The Threadripper 24- and 32-core processors have four core chiplets. The 64-core processor has eight core chiplets. All Threadripper processors use the same I/O die.

APUs

Both mobile and desktop APUs are based on the Picasso microarchitecture, a 12 nm refresh of Raven Ridge, offering a modest increase in clock speeds (up to an additional 300 MHz maximum boost), Precision Boost 2, an up to 3% increase in IPC from the move to the Zen+ core with its reduced cache and memory latencies, and newly added solder thermal interface material for the desktop parts.[133]

Desktop
Model Release date,
and price
Fab CPU GPU Socket PCIe lanes Memory
support
TDP Stock cooler (box)[lower-alpha 1] Box Number Part Number
Cores
(threads)
Clock rate (GHz) Cache[lower-alpha 2] Model Config[lower-alpha 3] Clock Processing
power
(GFLOPS)[lower-alpha 4]
Base Boost L1 L2 L3
Ryzen 3 Pro 3200GE[135] September 30, 2019 12nm 4 (4) 3.3 3.8 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB RX Vega 8 512:32:16
8 CU
1200 MHz 1228.8 AM4 16 (8+4+4) DDR4-2933
dual-channel
35 W N/A N/A YD320BC6M4MFH
Ryzen 3 3200G[133] July 7, 2019
US $99
3.6 4.0 1250 MHz 1280 45-65 W Wraith Stealth YD3200C5FHBOX YD3200C5M4MFH
Ryzen 3 Pro 3200G[135] September 30, 2019 N/A N/A YD320BC5M4MFH
Ryzen 5 Pro 3350GE[136] July 21, 2020 4 (8) 3.3 3.9 RX Vega 10 640:40:16

10 CU

1200 MHz 1536 35 W YD335BC6M4MFH
Ryzen 5 Pro 3350G[137] 3.6 4.0 1300 MHz 1664 45-65 W YD335BC5M4MFH
Ryzen 5 Pro 3400GE[135] September 30, 2019 3.3 4.0 RX Vega 11 704:44:16
11 CU
1830.4 35 W YD340BC6M4MFH
Ryzen 5 3400G[133] July 7, 2019
US $149
3.7 4.2 1400 MHz 1971.2 45-65 W Wraith Spire v2 YD3400C5FHBOX YD3400C5M4MFH
Ryzen 5 Pro 3400G[135] September 30, 2019 N/A N/A YD340BC5M4MFH
  1. A box without cooler might also be available (WOF).
  2. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.[134]
  3. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  4. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
Mobile

In 2019, AMD first released the Ryzen 3000 APUs, consisting only of quad core parts. Then in January 2020, they announced value dual core mobile parts, codenamed Dalí, including the Ryzen 3 3250U.

Model Release
date
Process CPU GPU Socket PCIe lanes Memory support TDP Part number
Cores
(threads)
Clock rate (GHz) Cache[lower-roman 1] Model Config[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
Ryzen 3 3200U[138] January 6, 2019 GloFo
14LP
2 (4) 2.6 3.5 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB Vega 3 192:12:14
3 CU
1200 MHz 460.8 FP5 12 (8+4) DDR4-2400
dual-channel
12-25 W YM3200C4T2OFG
Ryzen 3 3250U[139] January 6, 2020 YM3250C4T2OFG
Ryzen 3 3300U[140] January 6, 2019 GloFo
12LP (14LP+)
4 (4) 2.1 Vega 6 384:24:8
6 CU
1200 MHz 921.6 15 W YM3300C4T4MFG
Ryzen 3 Pro 3300U[141] YM330BC4T4MFG
Ryzen 5 3500U[142] 4 (8) 3.7 Vega 8 512:32:16
8 CU
1228.8 YM3500C4T4MFG
Ryzen 5 Pro 3500U[143] YM350BC4T4MFG
Ryzen 5 3550H[144] 35 W YM3500C4T4MFG
Ryzen 5 3580U[145] October 2019 Vega 9 576:36:16
9 CU
1300 MHz 1497.6 15 W
Ryzen 7 3700U[146] January 6, 2019 2.3 4.0 Vega 10 640:40:16
10 CU
1400 MHz 1792.0 YM3700C4T4MFG
Ryzen 7 Pro 3700U[147] YM370BC4T4MFG
Ryzen 7 3750H[148] 35 W YM3700C4T4MFG
Ryzen 7 3780U[149] October 2019 Vega 11 704:44:16
11 CU
1971.2 15 W
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.
  2. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

APUs

The Ryzen 4000 APUs are based on Renoir, a refresh of the Zen 2 Matisse CPU cores, coupled with Radeon Vega GPU cores. They were released only to OEM manufacturers in mid-2020.

Ryzen Pro 4x50G APUs are the same as 4x00G APUs, except they are bundled a Wraith Stealth cooler and are not OEM-only.[150] It is possible this is a listing mistake, since 4x50G CPUs are unavailable on retail (as of Oct. 2020) and PRO SKUs are usually the OEM only parts.

Desktop
Model Release date
and price
Fab. CPU GPU Socket PCIe
lanes
Memory
support
TDP
Cores
(threads)
Core Config[lower-roman 1] Clock rate (GHz) Cache Model Config.[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
Ryzen 3 4300GE [151] 2H 2020 [152] TSMC
7FF
4 (8) 1 × 4 3.5 4.0 32 KiB inst.
32 KiB data
per core
512 KiB
per core
4 MiB Vega 6 384:24:8
6 CU
1700 MHz 1305.6 AM4 24 (16+4+4) DDR4-3200
dual-channel
35 W
Ryzen 3 Pro 4350GE[151]
Ryzen 3 4300G[151] 3.8 4.0 65 W
Ryzen 3 Pro 4350G[151]
Ryzen 5 4600GE[151] 6 (12) 2 × 3 3.3 4.2 8 MiB
4 MiB per CCX
Vega 7 448:28:8
7 CU
1900 MHz 1702.4 35 W
Ryzen 5 Pro 4650GE[151]
Ryzen 5 4600G[151] 3.7 4.2 65 W
Ryzen 5 Pro 4650G[151]
Ryzen 7 4700GE[151] 8 (16) 2 × 4 3.1 4.3 Vega 8 512:32:8
8 CU
2000 MHz 2048 35 W
Ryzen 7 Pro 4750GE[151]
Ryzen 7 4700G[151] 3.6 4.4 2100 MHz 2150.4 65 W
Ryzen 7 Pro 4750G[151]
  1. Active core complexes (CCXs) × active cores per CCX.
  2. Unified shaders : texture mapping units : render output units and compute units (CU)
  3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
Mobile

Zen 2 APUs, based on the 7 nm Renoir microarchitecture, commercialized as Ryzen 4000.[153][154][155]

Model Release
date
Fab CPU GPU Socket PCIe
lanes
Memory support TDP
Cores
(threads)
Core config[lower-roman 1] Clock rate (GHz) Cache Model,
config[lower-roman 2]
Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
Ryzen 3 4300U[156] March 16, 2020 TSMC
7FF
4 (4) 1 × 4 2.7 3.7 32 KiB inst.
32 KiB data
per core
512 KiB
per core
4 MiB AMD Radeon Graphics,

320:20:8
5 CU

1400 MHz 896 FP6 16 (8+4+4) DDR4-3200
LPDDR4-4266
dual-channel
10–25 W
Ryzen 3 PRO 4450U[157] May 7, 2020 4 (8) 2.5
Ryzen 5 4500U[158] March 16, 2020 6 (6) 2 × 3 2.3 4.0 8 MiB
4 MiB per CCX
AMD Radeon Graphics,
384:24:8
6 CU
1500 MHz 1152
Ryzen 5 4600U[159] 6 (12) 2.1
Ryzen 5 PRO 4650U[160] May 7, 2020
Ryzen 5 4600HS[161] March 16, 2020 3.0 35 W
Ryzen 5 4600H[162] 35–54 W
Ryzen 7 4700U[163] 8 (8) 2 × 4 2.0 4.1 AMD Radeon Graphics,
448:28:8
7 CU
1600 MHz 1433.6 10–25 W
Ryzen 7 PRO 4750U[164] May 7, 2020 8 (16) 1.7
Ryzen 7 4800U[165] March 16, 2020 1.8 4.2 AMD Radeon Graphics,
512:32:8
8 CU
1750 MHz 1792
Ryzen 7 4800HS[166] 2.9 AMD Radeon Graphics,
448:28:8
7 CU
1600 MHz 1433.6 35 W
Ryzen 7 4800H[167] 35–54 W
Ryzen 9 4900HS[168] 3 4.3 AMD Radeon Graphics,
512:32:8
8 CU
1750 MHz 1792 35 W
Ryzen 9 4900H[169] 3.3 4.4 35–54 W
  1. Active Core Complexes (CCX) × active cores per CCX.
  2. Unified shaders : texture mapping units : render output units and compute units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
Grey Hawk

In November 2020, AMD announced the V2000 series of embedded Zen 2 Vega APUs.

Model Release
date
Process CPU GPU Memory
support
TDP
(W)
Junction
temperature
(°C)
Cores
(threads)
Clock rate (GHz) Cache[lower-roman 1] Model Config[lower-roman 2] Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
V2516[170] November 10, 2020 TSMC
7FF
6 (12) 2.1 3.95 64 KB inst.
32 KB data
per core
3 MB shared 8 MB ? 6 CU 1500 MHz ? DDR4-3200
dual-channel
10–25 0–105
V2546[170] 3.0 3.95 35–54
V2718[170] 8 (16) 1.7 4.15 4 MB shared 7 CU 1600 MHz 10–25
V2748[170] 2.9 4.25 35–54
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.
  2. Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Desktop

The desktop Ryzen 5000 series, based on the Zen 3 microarchitecture, was announced on October 8, 2020.[171][172] They use the same 7 nm manufacturing process, which has matured slightly, as usual.[173] Mainstream Ryzen 5000 CPU cores are codenamed Vermeer. Enthusiast/workstation Threadripper 5000 CPU cores are codenamed Genesis.

Model Release date
and price
Fab Chiplets Cores
(threads)
Core config[lower-roman 1] Clock rate (GHz) Cache Socket PCIe
lanes
Memory
support
TDP
Base Boost L1 L2 L3
Mainstream
Ryzen 5 5600X[174] November 5, 2020
US $299
TSMC
7FF
1 × CCD
1 × I/O
6 (12) 1 × 6 3.7 4.6 32 KiB data
32 KiB inst.
per core
512 KiB
per core
32 MiB
AM4 24 DDR4-3200
dual-channel
65 W
Performance
Ryzen 7 5800[175] January 12, 2021
OEM
TSMC
7FF
1 × CCD
1 × I/O
8 (16) 1 × 8 3.4 4.6 32 KiB data
32 KiB inst.
per core
512 KiB
per core
32 MiB
AM4 24 DDR4-3200
dual-channel
65 W
Ryzen 7 5800X[176] November 5, 2020
US $449
3.8 4.7 105 W
Enthusiast
Ryzen 9 5900[177] January 12, 2021
OEM
TSMC
7FF
2 × CCD
1 × I/O
12 (24) 2 × 6 3.0 4.7 32 KiB data
32 KiB inst.
per core
512 KiB
per core
32 MiB
per CCD
AM4 24 DDR4-3200
dual-channel
65 W
Ryzen 9 5900X[178] November 5, 2020
US $549
3.7 4.8 105 W
Ryzen 9 5950X[179] November 5, 2020
US $799
16 (32) 2 × 8 3.4 4.9
  1. Active Core Complexes (CCX) × Active cores per CCX.

Mobile

Model Release
date
Fab CPU GPU Socket PCIe
lanes
Memory support TDP Microarchitecture
Cores
(threads)
Core config[lower-roman 1] Clock rate (GHz) Cache Model,
config[lower-roman 2]
Clock Processing
power
(GFLOPS)[lower-roman 3]
Base Boost L1 L2 L3
Ryzen 3 5300U[180] January 12, 2021 TSMC
7FF
4 (8) 1 × 4 2.6 3.8 32 KiB inst.
32 KiB data
per core
512 KiB
per core
4 MiB AMD Radeon Graphics, 6 CU 1500 MHz - FP6 16 (8+4+4) DDR4-3200
LPDDR4-4266
dual-channel
10–25 W Zen 2
Ryzen 5 5500U[181] 6 (12) 2 × 3 2.1 4.0 8 MiB AMD Radeon Graphics, 7 CU 1800 MHz
Ryzen 5 5600U[182] 1 x 6 2.3 4.2 16 MiB Zen 3
Ryzen 5 5600H[183] 3.3 35–54 W
Ryzen 5 5600HS[184] 3.0
Ryzen 7 5700U[185] 8 (16) 2 × 4 1.8 4.3 8 MiB AMD Radeon Graphics, 8 CU 1900 MHz 10–25 W Zen 2
Ryzen 7 5800U[186] 1 x 8 1.9 4.4 16 MiB 2000 MHz Zen 3
Ryzen 7 5800H[187] 2.8 35–54 W
Ryzen 7 5800HS[188] 3.2
Ryzen 9 5900HS[189] 3.0 4.6 2100 MHz
Ryzen 9 5900HX[190] 3.3
Ryzen 9 5980HS[191] 3.0 4.8
Ryzen 9 5980HX[192] 3.3
  1. Active Core Complexes (CCX) × active cores per CCX.
  2. Unified shaders : texture mapping units : render output units and compute units (CU)
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Initial reception

The first Ryzen 7 (1700, 1700X, and 1800X) processors debuted in early March 2017 and were generally well received by hardware reviewers.[193][194][195] Ryzen was the first brand new architecture from AMD in five years, and without very much initial fine-tuning or optimization, it ran generally well for reviewers.[196] Initial Ryzen chips ran well with software and games already on the market, performing exceptionally well in workstation scenarios, and well in most gaming scenarios. Compared to Piledriver-powered FX chips, Zen-powered Ryzen chips ran cooler, much faster, and used less power. IPC uplift was eventually gauged to be 52% higher than Excavator, which was two full generations ahead of the architecture still being used in AMD's FX-series desktop predecessors like the FX-8350 and FX-8370.[1] Though Zen fell short of Intel's Kaby Lake in terms of IPC, and therefore single-threaded throughput, it compensated by offering more cores to applications that can use them. Power use and heat were found to be competitive with Intel, and the included Wraith coolers were generally competitive with higher-priced aftermarket units.

Ryzen 1800X's multi-threaded performance, in some cases while using Blender or other open-source software, was around four times the performance of the FX-8370, or nearly double that of the i7 7700K.[197] One reviewer found that Ryzen chips would usually outperform competing Intel i7 processors for a fraction of the price when all eight cores are used.[197]

However, one complaint among a subset of reviewers was that Ryzen processors lagged their Intel counterparts when running older games, or some newer games at mainstream resolutions such as 720p or 1080p.[198] AMD acknowledged the gaming performance deficit at low resolutions during a Reddit "Ask Me Anything" thread, where it explained that updates and patches were being developed.[199] Subsequent updates to Ashes of the Singularity: Escalation and Rise of the Tomb Raider increased frame rates by 17 to 31% on Ryzen systems.[200][201] Developer id Software announced in April 2017 it would optimize its future games to make use of the greater parallelism available on Ryzen CPUs.[202]

It has been suggested that low threaded applications often result in Ryzen processors being underused, yielding lower than expected benchmark scores, because Zen relies on its core count to make up for its lower IPC rating than that of Kaby Lake.[203][204][205] However, AMD and others have argued thread scheduling is not the fundamental issue to Windows 10 performance.[206][207] Early AM4 motherboards were also hindered by BIOS bugs and poor DDR4 memory support.

Operating system support

Windows

AMD verified that computers with Ryzen CPUs can boot Windows 7 and Windows 8 but on newer hardware, including AMD Ryzen and Intel Kaby Lake and later, Microsoft only officially supports the use of Windows 10. Windows Update blocks updates from being installed on newer systems running older versions of Windows, though that restriction can be circumvented with an unofficial patch.[208]

Although AMD initially announced that Ryzen chipset drivers would not be provided for Windows 7,[209] its chipset driver packages do in fact list and include them.[210]

Linux

Full support for Ryzen processors' performance features in Linux requires kernel version 4.10 or newer.[211]

Known issues

Spectre

Like nearly all modern high performance microprocessors, Ryzen was susceptible to the "Spectre" vulnerabilities. The vulnerabilities can be mitigated without hardware changes via microcode updates and operating system workarounds, but the mitigations incur a performance penalty.[212] AMD Ryzen and Epyc suffer up to 9% penalty from the mitigations, depending on workload, comparing favorably with a penalty of in some cases more than 50% for Intel Core and Xeon processors,[213][214] in part as a result of the AMD processors not requiring mitigation against the related Meltdown vulnerability.[215]

Launched in 2019, Zen 2 includes hardware mitigations against the Spectre V4 speculative store bypass vulnerability.[104][216]

Segmentation fault

Some early shipments of Ryzen 1000 series processors produced segmentation faults on some workloads on Linux, especially while compiling code with GNU Compiler Collection (GCC).[217] AMD offered to replace the affected processors with newer ones that are unaffected by the problem.[218]

Alleged issues by CTS Labs

In early 2018, Israeli computer security consultancy firm CTS Labs stated that they had discovered several major flaws in the Ryzen components ecosystem,[219] publicly disclosing them after giving AMD 24 hours to respond and raising concerns and questions regarding their legitimacy,[220][221] though they were later confirmed by two separate security firms.[222] AMD has since stated that while the flaws are real and will be fixed via microcode updates, their severity was overstated as physical access to the hardware is required to exploit the flaws.[223]

See also

References

  1. Cutress, Ian. "AMD Launches Ryzen: 52% More IPC, Eight Cores for Under $330, Pre-order Today, On Sale March 2nd". AnandTech.
  2. "Zen 2 - Microarchitectures - AMD". Wikichip.com. June 14, 2020.
  3. "A long look at AMD's Zen 3 core and chips". SemiAccurate.com. February 1, 2021.
  4. https://www.amd.com/en/products/cpu/amd-ryzen-9-3950x
  5. https://www.amd.com/en/products/cpu/amd-ryzen-9-5950x
  6. https://www.amd.com/en/products/cpu/amd-ryzen-threadripper-3990x
  7. Cutress, Ian (December 13, 2016). "AMD Gives More Zen Details: Ryzen, 3.4 GHz+, NVMe, Neural Net Prediction, & 25 MHz Boost Steps". AnandTech.
  8. "AMD Takes Computing to a New Horizon with Ryzen™ Processors". Amd.com. Retrieved July 20, 2018.
  9. "New Horizon". Amd.com.
  10. Cutress, Ian. "The AMD 2nd Gen Ryzen Deep Dive: The 2700X, 2700, 2600X, and 2600 Tested". AnandTech. Retrieved December 11, 2019.
  11. Cutress, Ian. "AMD Ryzen 2nd Gen Details: Four CPUs, Pre-Order Today, Reviews on the 19th". Retrieved April 13, 2018.
  12. Loeffler, John (June 16, 2020). "New AMD Ryzen 3000 XT processors are coming one year after the originals". TechRadar. Future US. Retrieved February 6, 2021.
  13. https://www.youtube.com/watch?v=iuiO6rqYV4o
  14. "First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture". December 22, 2019.
  15. "PassMark CPU Benchmarks - AMD vs Intel Market Share". Cpubenchmark.net. Retrieved August 1, 2017.
  16. "AMD's moment of Zen: Finally, an architecture that can compete". Ars Technica. Retrieved June 26, 2018.
  17. "AMD's Zen CPU is now called Ryzen, and it might actually challenge Intel". Ars Technica. Retrieved June 26, 2018.
  18. "AMD's New Desktop Processors for 2018: What You Need to Know". Tom's Guide. January 7, 2018. Retrieved June 26, 2018.
  19. Cuttress, Ian (March 2, 2017). "The AMD Zen and Ryzen 7 Review: A Deep Dive on 1800X, 1700X and 1700". AnandTech. p. 23. Retrieved September 4, 2017.
  20. "The "Zen" Core Architecture | AMD". Amd.com. Retrieved April 7, 2018.
  21. "[PATCH] add znver1 processor".
  22. Leather, Antony. "AMD Ryzen Threadripper: The Fascinating Story Behind The Processor That Beat Intel". Forbes. Retrieved January 6, 2020.
  23. Cutress, Ian (March 2, 2017). "The AMD Zen and Ryzen 7 Review: A Deep Dive on 1800X, 1700X and 17000". AnandTech. Retrieved March 16, 2017.
  24. Kampman, Jeff (March 2, 2017). "AMD's Ryzen 7 ... CPUs reviewed". Techreport.com. Retrieved March 3, 2017.
  25. "AMD Ryzen 7 1800X och 7 1700X- Sweclockers". Sweclockers.com.
  26. "AMD Ryzen 7 1800X Review - CPU-Z Screenshots & System".
  27. "Gaming: Tips for Building a Better AMD Ryzen™ S... - Community". community.amd.com.
  28. Walton, Mark (March 2, 2017). "AMD Ryzen 7 1800X still behind Intel, but it's great for the price". Arstechnica.com. Retrieved March 3, 2017.
  29. Alcorn, Paul (March 2, 2017). "AMD Ryzen 7 1800X CPU Review". Tomshardware.com. Retrieved March 3, 2017.
  30. Verry, Tim. "PSA: AMD XFR Enabled On All Ryzen CPUs, X SKUs Have Wider Range". PC Perspective. Retrieved February 3, 2018.
  31. Hallock, Robert. "AMD Ryzen Processor Features Defined". reddit.
  32. Singh, Karandeep (February 21, 2017). "AMD Ryzen 7 Getting More Interesting, New Wraith RGB Coolers and Box Design Revealed". Racing Junky.
  33. Chen, Sam (February 13, 2020). "What is XFR? (AMD)". Gear Primer. Retrieved November 6, 2020.
  34. "AMD Ryzen™ 3 1200 Processor". AMD.
  35. "AMD Ryzen™ 3 1200 Processor". AMD.
  36. "AMD Ryzen™ 3 1200 Processor". AMD.
  37. "AMD Ryzen™ 3 1300X Processor". AMD.
  38. "AMD Ryzen™ 5 1400 Processor". AMD.
  39. "AMD Ryzen™ 5 PRO 1500 Processor". AMD.
  40. "AMD Ryzen™ 5 1500X Processor". AMD.
  41. "AMD Ryzen™ 5 1600 Processor". AMD.
  42. "AMD Ryzen™ 5 PRO 1600 Processor". AMD.
  43. "AMD Ryzen™ 5 1600X Processor". AMD.
  44. "AMD Ryzen™ 7 1700 Processor". AMD.
  45. "AMD Ryzen™ 7 PRO 1700 Processor". AMD.
  46. "AMD Ryzen™ 7 1700X Processor". AMD.
  47. "AMD Ryzen™ 7 1800X Processor". AMD.
  48. "AMD Ryzen™ Threadripper 1900X Processor". AMD.
  49. "AMD Ryzen™ Threadripper 1920X Processor". AMD.
  50. "AMD Ryzen™ Threadripper 1950X Processor". AMD.
  51. Alcorn, Paul (April 13, 2018). "AMD Announces 2nd Generation Ryzen 7 & 5 CPUs: Pricing, Pre-Orders". Tom's Hardware. Retrieved April 13, 2018.
  52. Hallock, Robert (November 27, 2017). "Understanding Precision Boost 2 in AMD SenseMI technology". AMD.
  53. Hagedoorn, Hilbert (April 11, 2017). "AMD Ryzen 5 1500X and 1600X review – The AMD Chipsets". Guru3D. Retrieved August 4, 2017.
  54. "AMD Ryzen™ 3 1200AF 12nm Processor". Tom's Hardware.
  55. "AMD Ryzen™ 3 2300X Processor". AMD.
  56. "AMD Ryzen™ 5 2500X Processor". AMD.
  57. "AMD Ryzen™ 5 2600E Processor". AMD.
  58. "AMD Ryzen 5 1600 AF CPU Review & Benchmarks: Best CPU Under $100 in 2020".
  59. "AMD Ryzen 5 2600 Processor". AMD.
  60. "AMD Ryzen™ 5 2600X Processor". AMD.
  61. "AMD Ryzen™ 7 2700E Processor". AMD.
  62. "AMD Ryzen™ 7 2700 Processor". AMD.
  63. "AMD Ryzen™ 7 PRO 2700 Processor". AMD.
  64. "AMD Ryzen™ 7 PRO 2700X Processor". AMD.
  65. "AMD Ryzen™ 7 2700X Processor". AMD.
  66. "AMD Ryzen™ Threadripper 2920X Processor". AMD.
  67. "AMD Ryzen™ Threadripper 2950X Processor". AMD.
  68. "AMD Ryzen™ Threadripper 2970WX Processor". AMD.
  69. "AMD Ryzen™ Threadripper 2990WX Processor". AMD.
  70. "AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April". Ars Technica. Retrieved January 16, 2018.
  71. "Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors" (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. April 15, 2017. p. 25. Retrieved November 1, 2019.
  72. "AMD Ryzen™ 3 2200GE with Radeon™ Vega 8 Graphics". AMD. Retrieved April 19, 2018.
  73. "AMD Ryzen™ 3 2200GE with Radeon™ Vega 8 Graphics". AMD.
  74. "AMD Ryzen™ 3 2200G with Radeon™ Vega 8 Graphics". AMD.
  75. "AMD Ryzen™ 3 PRO 2200G with Radeon™ Vega 8 Graphics". AMD.
  76. "AMD Ryzen™ 5 2400GE with Radeon™ RX Vega 11 Graphics". AMD.
  77. "AMD Ryzen™ 5 PRO 2400GE Processor with Radeon™ Vega 11 Graphics". AMD.
  78. "AMD Ryzen™ 5 2400G with Radeon™ RX Vega 11 Graphics". AMD.
  79. "AMD Ryzen™ 5 PRO 2400G with Radeon™ Vega 11 Graphics". AMD.
  80. Shrout, Ryan (May 30, 2017). "Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics". PC Perspective. Retrieved June 2, 2017.
  81. Cutress, Ian (October 26, 2017). "Ryzen Mobile is Launched". Anandtech. Retrieved October 26, 2017.
  82. "The Mobile CPU Comparison Guide Rev. 13.0 Page 5 : AMD Mobile CPU Full List". TechARP.com. Retrieved December 13, 2017.
  83. "Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors" (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. April 15, 2017. p. 25. Retrieved November 1, 2019.
  84. "AMD Ryzen™ 3 2200U". Retrieved January 21, 2018.
  85. "AMD Radeon Vega 3 Mobile Specs | TechPowerUp GPU Database". Techpowerup.com. Retrieved June 10, 2019.
  86. "AMD Ryzen™ 3 3200U Mobile Processor with Radeon™ Vega 3 Graphics". Retrieved January 6, 2019.
  87. "AMD Ryzen™ 3 2300U". Retrieved January 21, 2018.
  88. "AMD Radeon Vega 6 Mobile Specs | TechPowerUp GPU Database". Techpowerup.com. Retrieved June 10, 2019.
  89. "AMD Ryzen™ 3 PRO 2300U". January 21, 2018. Retrieved January 8, 2018.
  90. Cutress, Ian (May 15, 2018). "AMD Launches Ryzen Pro with Vega: Mobile APUs and Desktop APUs". Anandtech. Retrieved August 28, 2018.
  91. "AMD Ryzen™ 5 2500U". Retrieved January 21, 2018.
  92. "AMD Radeon Vega 8 Specs | TechPowerUp GPU Database". Techpowerup.com. Retrieved June 10, 2019.
  93. "AMD Ryzen™ 5 PRO 2500U". January 21, 2018. Retrieved January 8, 2018.
  94. "AMD Launches Ryzen 7 2800H & Ryzen 5 2600H APUs for High-Performance Laptops". Anandtech.com. Retrieved June 10, 2019.
  95. "Specs" (PDF). www.amd.com. Retrieved June 10, 2019.
  96. "AMD Ryzen™ 7 2700U". Retrieved January 21, 2018.
  97. "AMD Radeon RX Vega 10 Mobile Specs | TechPowerUp GPU Database". Techpowerup.com. Retrieved June 10, 2019.
  98. "AMD Ryzen™ 7 PRO 2700U". January 21, 2018. Retrieved January 8, 2018.
  99. Alcorn, Paul (February 21, 2018). "AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors". Tomshardware.com. Retrieved April 5, 2018.
  100. "Embedded Processor Specifications". AMD.
  101. "AMD Expands Embedded Product Family, Adds Design Wins and Customers, with New Ryzen™ Embedded R1000". AMD. April 16, 2019. Retrieved August 8, 2019.
  102. "Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors" (PDF). Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors. AMD. Retrieved July 14, 2017.
  103. "Embedded Processor Specifications". AMD.
  104. Cutress, Ian (June 10, 2019). "AMD Zen 2 microarchitecture analysis: Ryzen 3000 and EPYC Rome". AnandTech.
  105. Cutress, Ian (January 9, 2019). "AMD Ryzen 3rd Gen 'Matisse' Coming Mid 2019: Eight Core Zen 2 with PCIe 4.0 on Desktop". AnandTech.
  106. Cutress, Ian (September 20, 2019). "AMD: Next Gen Threadripper and Ryzen 9 3950X, Coming November". AnandTech.
  107. "AMD Ryzen™ 3 3100 Desktop Processor". AMD.
  108. "AMD Ryzen™ 3 3300X Desktop Processor". AMD.
  109. "AMD Launches Ryzen 5 3500 in Japan with 6 Cores/6 Threads for 16K Yen". hardwaretimes.com. February 17, 2020.
  110. Cutress, Ian (October 8, 2019). "AMD Brings Ryzen 9 3900 and Ryzen 5 3500X To Life". AnandTech.com.
  111. "AMD Ryzen™ 5 3600 Desktop Processor". AMD.
  112. "AMD Ryzen™ 5 PRO 3600 Processor". AMD.
  113. "AMD Ryzen™ 5 3600X Processor". AMD.
  114. "AMD Ryzen™ 5 3600XT". AMD.
  115. "AMD Ryzen™ 7 PRO 3700 Processor". AMD.
  116. "Tom's Hardware Ryzen 9 3950X review". Tom's Hardware. Retrieved May 12, 2020.
  117. "AMD Ryzen™ 7 3700X". AMD.
  118. "AMD Ryzen™ 7 3800X". AMD.
  119. "AMD Ryzen™ 7 3800XT". AMD.
  120. "AMD Ryzen 9 3900 specifications". CPU World.
  121. "AMD Ryzen™ 9 PRO 3900 Processor". AMD.
  122. "AMD Ryzen™ 9 3900X Processor". AMD.
  123. "AMD Ryzen™ 9 3900XT Processor". AMD.
  124. "AMD Ryzen™ 9 3950X Processor". AMD.
  125. "AMD Ryzen™ Threadripper™ 3960X Processor". AMD.
  126. "Kitguru AMD Ryzen Threadripper 3990X CPU Review". KitGuru. Retrieved May 12, 2020.
  127. "AMD Ryzen™ Threadripper™ 3970X Processor". AMD.
  128. "AMD Ryzen™ Threadripper™ 3990X Processor". AMD.
  129. "AMD Ryzen™ Threadripper™ PRO 3945WX". AMD.
  130. "AMD Ryzen™ Threadripper™ PRO 3955WX". AMD.
  131. "AMD Ryzen™ Threadripper™ PRO 3975WX". AMD.
  132. "AMD Ryzen™ Threadripper™ PRO 3995WX". AMD.
  133. Cutress, Ian. "AMD Ryzen 3000 APUs: Up to Vega 11, More MHz, Under $150, Coming July 7th". AnandTech.
  134. "Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors" (PDF). AMD Technical Documentation. AMD Developer Central: Advanced Micro Devices, Inc. April 15, 2017. p. 25. Retrieved November 1, 2019.
  135. "AMD Athlon™ PRO 300GE".
  136. "AMD Ryzen™ 5 PRO 3350GE".
  137. "AMD Ryzen™ 5 PRO 3350G".
  138. "AMD Ryzen™ 3 3200U Mobile Processor with Radeon™ Vega 3 Graphics". AMD.
  139. "AMD Ryzen™ 3 3250U". AMD.
  140. "AMD Ryzen™ 3 3300U Mobile Processor with Radeon™ Vega 6 Graphics". AMD.
  141. "AMD Ryzen™ 3 PRO 3300U Mobile Processor with Radeon™ Vega 6 Graphics". AMD.
  142. "AMD Ryzen™ 5 3500U Mobile Processor with Radeon™ Vega 8 Graphics". AMD.
  143. "AMD Ryzen™ 5 PRO 3500U Mobile Processor with Radeon™ Vega 8 Graphics". AMD.
  144. "AMD Ryzen™ 5 3550H Mobile Processor with Radeon™ Vega 8 Graphics". AMD.
  145. "AMD Ryzen™ 5 3580U Microsoft Surface® Edition". AMD.
  146. "AMD Ryzen™ 7 3700U Mobile Processor with Radeon™ RX Vega 10 Graphics". AMD.
  147. "AMD Ryzen™ 7 PRO 3700U Mobile Processor with Radeon™ Vega 10 Graphics". AMD.
  148. "AMD Ryzen™ 7 3750H Mobile Processor with Radeon™ RX Vega 10 Graphics". AMD.
  149. "AMD Ryzen™ 7 3780U Microsoft Surface® Edition". AMD.
  150. "Ryzen 3 PRO 4350G". AMD Product Page.
  151. Cutress, Ian. "AMD Launches 12 Desktop Renoir Ryzen 4000G Series APUs: But You Can't Buy Them". Anandtech.
  152. Balraj, Tarun. "AMD Announces Renoir for Desktop: Ryzen 4000G, PRO 4000G, and Athlon PRO 3000G". TechPowerUp.
  153. Cutress, Ian (January 6, 2020). "AMD Ryzen 4000 Mobile APUs: 7nm, 8-core on both 15W and 45W, Coming Q1". AnandTech. AnandTech. Retrieved January 7, 2020.
  154. Alcorn, Paul (January 7, 2020). "AMD Launches Threadripper 3990X and Ryzen 4000 'Renoir' APUs". tomshardware.com. Tom's Hardware. Retrieved January 7, 2020.
  155. Gartenberg, Chaim (January 6, 2020). "AMD's 7nm Ryzen 4000 CPUs are here to take on Intel's 10nm Ice Lake laptop chips". theverge.com. The Verge. Retrieved January 7, 2020.
  156. "AMD Ryzen™ 3 4300U". AMD.
  157. "AMD Ryzen™ 3 PRO 4450U". AMD.
  158. "AMD Ryzen™ 5 4500U". AMD.
  159. "AMD Ryzen™ 5 4600U". AMD.
  160. "AMD Ryzen™ 5 PRO 4650U". AMD.
  161. "AMD Ryzen™ 5 4600HS". AMD.
  162. "AMD Ryzen™ 5 4600H". AMD.
  163. "AMD Ryzen™ 7 4700U". AMD.
  164. "AMD Ryzen™ 7 PRO 4750U". AMD.
  165. "AMD Ryzen™ 7 4800U". AMD.
  166. "AMD Ryzen™ 7 4800HS". AMD.
  167. "AMD Ryzen™ 7 4800H". AMD.
  168. "AMD Ryzen™ 9 4900HS". AMD.
  169. "AMD Ryzen™ 9 4900H". AMD.
  170. "Embedded Processor Specifications". AMD.
  171. Fuad Abazovic (May 20, 2020). "Ryzen 4000 desktop was planned for Computex 2020". Fudzilla.
  172. Joel Hruska (January 10, 2020). "AMD's Lisa Su Confirms Zen 3 Coming in 2020, Talks Challenges in Notebooks". ExtremeTech.
  173. "AMD Zen 3: An AnandTech Interview with CTO Mark Papermaster". AnandTech.
  174. "AMD Ryzen™ 5 5600X Desktop Processor". AMD.
  175. "AMD Ryzen™ 7 5800". AMD.
  176. "AMD Ryzen™ 7 5800X Desktop Processor". AMD.
  177. "AMD Ryzen™ 9 5900". AMD.
  178. "AMD Ryzen™ 9 5900X Desktop Processor". AMD.
  179. "AMD Ryzen™ 9 5950X Desktop Processor". AMD.
  180. "AMD Ryzen™ 3 5300U". AMD.
  181. "AMD Ryzen™ 5 5500U". AMD.
  182. "AMD Ryzen™ 5 5600U". AMD.
  183. "AMD Ryzen™ 5 5600H". AMD.
  184. "AMD Ryzen™ 5 5600HS". AMD.
  185. "AMD Ryzen™ 7 5700U". AMD.
  186. "AMD Ryzen™ 7 5800U". AMD.
  187. "AMD Ryzen™ 7 5800H". AMD.
  188. "AMD Ryzen™ 7 5800HS". AMD.
  189. "AMD Ryzen™ 9 5900HS". AMD.
  190. "AMD Ryzen™ 9 5900HX". AMD.
  191. "AMD Ryzen™ 9 5980HS". AMD.
  192. "AMD Ryzen™ 9 5980HX". AMD.
  193. Cutress, Ian (March 2, 2017). "The AMD Zen and Ryzen 7 Review". Anandtech. Retrieved June 23, 2017.
  194. Kampman, Jeff (March 2, 2017). "AMD's Ryzen 7 1800X, Ryzen 7 1700X, and Ryzen 7 1700 CPUs reviewed". Tech Report. Retrieved June 23, 2017.
  195. Shrout, Ryan (March 2, 2017). "The AMD Ryzen 7 1800X Review: Now and Zen". PC Perspective. Retrieved June 23, 2017.
  196. "In Order For AMD Ryzen To Deliver In Performance AMD Needs Game Developers To Optimize Game Accordingly". Segmentnext.com. March 3, 2017.
  197. "Ryzen review: AMD is back". PCWorld.
  198. "AMD Ryzen 7 1800X reviewed: Zen is an amazing workstation chip with a 1080p gaming Achilles heel - ExtremeTech". Extremetech.com. March 2, 2017.
  199. "Didn't Attend AMD's Ryzen Reddit AMA? Here's What You Missed! - Custom PC Review". Custompcreview.com. March 3, 2017.
  200. Shrout, Ryan (March 29, 2017). "Ashes of the Singularity Gets Ryzen Performance Update". PC Perspective. Retrieved March 30, 2017.
  201. Shrout, Ryan (May 30, 2017). "Rise of the Tomb Raider Gets a Ryzen Performance Update". PC Perspective. Retrieved May 31, 2017.
  202. Killian, Zak (April 26, 2017). "id software talks about Ryzen". Tech Report. Retrieved April 26, 2017.
  203. "AMD's Ryzen CPU Series will Need Modern Linux Kernel for Proper Support". Techpowerup.com.
  204. "AMD: Ryzen CPU gaming performance inhibited by lack of optimization". Yahoo.com.
  205. "AMD Ryzen Performance Negatively Affected by Windows 10 Scheduler Bug". Wccftech.com. March 8, 2017.
  206. "AMD Ryzen™ Community Update". Community.amd.com. Retrieved March 13, 2017.
  207. Malventano, Allyn (March 10, 2017). "Home » Reviews Feedback AMD Ryzen and the Windows 10 Scheduler - No Silver Bullet". PC Perspective. Retrieved March 13, 2017.
  208. "Unofficial Patch Unblocks Windows 7 and 8.1 Updates for Kaby Lake, Ryzen - ExtremeTech". ExtremeTech. April 20, 2017. Retrieved September 3, 2017.
  209. "AMD: Sorry, there will be no official Ryzen drivers for Windows 7". PC World. IDG. Retrieved April 23, 2017.
  210. "Chipset". support.amd.com. Retrieved November 5, 2018.
  211. Chacos, Brad (March 13, 2017). "Kernel 4.10 gives Linux support for AMD Ryzen multithreading". PC World. Retrieved June 28, 2017.
  212. "Security Vulnerability: "Meltdown" and "Spectre" side channel attacks against CPUs with speculative execution". www.suse.com.
  213. "The Performance Cost of Spectre / Meltdown / Foreshadow Mitigations". www.phoronix.com.
  214. "Bisected: The Unfortunate Reason Linux 4.20 Is Running Slower". www.phoronix.com.
  215. "AMD Processor Security". www.amd.com.
  216. btarunr (June 12, 2019). "AMD Zen 2 has Hardware Mitigation for Spectre V4". TechPowerUp. Retrieved October 18, 2019.
  217. "AMD Confirms Linux Performance Marginality Problem Affecting Some, Doesn't Affect Epyc / TR - Phoronix". Phoronix.com.
  218. "AMD Replaces Ryzen CPUs for Users Affected By Rare Linux Bug. - ExtremeTech". Extremetech.com. August 29, 2017.
  219. "AMD Investigating RyzenFall, MasterKey, Fallout, and Chimera CPU Vulnerabilities - BleepingComputer.com". Retrieved June 12, 2018.
  220. Mott, Nathaniel (March 14, 2018). "CTS Labs Speaks: Why It Blindsided AMD With Ryzenfall And Other Vulnerabilities". Tomshardware.com.
  221. Cutress, Ian (March 13, 2018). "Security Researchers Publish Ryzen Flaws, Gave AMD 24 hours Prior Notice". AnandTech.
  222. Hachman, Mark (March 19, 2018). "AMD's Ryzen, Epyc security co-processor and chipset have major flaws, researchers claim". PCWorld.
  223. "AMD is working on fixes for the reported Ryzenfall, MasterKey vulnerabilities - Yahoo! Finance". Retrieved June 26, 2018.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.