250 nm process

The 250 nm process refers to a level of MOSFET (CMOS) semiconductor process technology that was commercialized by semiconductor manufacturers around the 19961998 timeframe.

A 250 nm CMOS process was demonstrated by a Japanese NEC research team led by Naoki Kasai in 1987.[1] In 1988, an IBM research team led by Iranian engineer Bijan Davari fabricated a 250 nm dual-gate MOSFET using a CMOS process.[2]

Products featuring 250 nm manufacturing process

Preceded by
350 nm
CMOS manufacturing processes Succeeded by
180 nm

References

  1. Kasai, Naoki; Endo, Nobuhiro; Kitajima, Hiroshi (December 1987). "0.25 μm CMOS technology using P+polysilicon gate PMOSFET". 1987 International Electron Devices Meeting: 367–370. doi:10.1109/IEDM.1987.191433. S2CID 9203005.
  2. Davari, Bijan; et al. (1988). "A high-performance 0.25 micrometer CMOS technology". International Electron Devices Meeting. doi:10.1109/IEDM.1988.32749. S2CID 114078857.
  3. "Memory". STOL (Semiconductor Technology Online). Retrieved 25 June 2019.


This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.