Apple-designed processors

Apple-designed processors, collectively marketed by Apple as Apple silicon, are system on a chip (SoC) and system in a package (SiP) processors designed by Apple Inc., mainly using the ARM architecture. They are the basis of Apple's iPhone, iPad, and Apple Watch platforms, and of products such as the HomePod, iPod touch, Apple TV, and AirPods.

As of 2021, Apple is in the process of moving away from Intel processors to Apple-designed processors for its Macintosh line of computers. This switch was announced at WWDC 2020 on June 22, 2020,[1][2] and the first of the ARM-based Macs, using the Apple M1 processor, were unveiled on November 10, 2020.

Apple outsources the chips' manufacture but fully controls their integration with the company's hardware and software. Johny Srouji is in charge of Apple's silicon design.[3]

Early series

Apple first used SoCs in early versions of the iPhone and iPod touch. They combine in one package a single ARM-based processing core (CPU), a graphics processing unit (GPU), and other electronics necessary for mobile computing.

The APL0098 (also 8900B[4] or S5L8900) is a package on package (PoP) system on a chip (SoC) that was introduced on June 29, 2007, at the launch of the original iPhone. It includes a 412 MHz single-core ARM11 CPU and a PowerVR MBX Lite GPU. It was manufactured by Samsung on a 90 nm process.[5] The iPhone 3G and the first-generation iPod touch also use it.[6]

The APL0278[7] (also S5L8720) is a PoP SoC introduced on September 9, 2008, at the launch of the second-generation iPod touch. It includes a 533 MHz single-core ARM11 CPU and a PowerVR MBX Lite GPU. It was manufactured by Samsung on a 65 nm process.[5][6]

The APL0298 (also S5L8920) is a PoP SoC introduced on June 8, 2009, at the launch of the iPhone 3GS. It includes a 600 MHz single-core Cortex-A8 CPU and a PowerVR SGX535 GPU. It was manufactured by Samsung on a 65 nm process.[8]

The APL2298 (also S5L8922) is a 45 nm die shrunk version of the iPhone 3GS SoC[5] and was introduced on September 9, 2009, at the launch of the third-generation iPod touch.

A series

Evolution of Apple "A" series
A4
March 2010-September 2013
A5
March 2011-September 2016
A5X
March–October 2012
A6
September 2012-2015
A6X
October 2012-2013
March–October 2014
A7
September 2013-March 2017
A8
September 2014-present
A8X
October 2014-March 2017
A9
September 2015-2018
A9X
November 2015-June 2017
A10 Fusion
September 2016-present
A10X Fusion
June 2017-present
A11 Bionic
September 2017-April 2020
A12 Bionic
September 2018-present
A12X Bionic
October 2018-March 2020
A13 Bionic
September 2019-present
A12Z Bionic
March 2020-present
A14 Bionic
September 2020-present
Notes:

    The Apple "A" series is a family of SoCs used in certain models of the iPhone, iPad, iPod touch, and the Apple TV digital media player. They integrate one or more ARM-based processing cores (CPU), a graphics processing unit (GPU), cache memory and other electronics necessary to provide mobile computing functions within a single physical package.[9]

    Apple A4

    The Apple A4 is a PoP SoC manufactured by Samsung, the first SoC Apple designed in-house.[10] It combines an ARM Cortex-A8 CPU  also used in Samsung's S5PC110A01 SoC[11][12]  and a PowerVR SGX 535 graphics processor (GPU),[13][14][15] all built on Samsung's 45-nanometer silicon chip fabrication process.[5][16] The design emphasizes power efficiency.[17] The A4 commercially debuted in 2010, in Apple's iPad tablet,[13] and was later used in the iPhone 4 smartphone,[18] the 4th-generation iPod touch, and the 2nd-generation Apple TV.[19]

    The Cortex-A8 core used in the A4, dubbed "Hummingbird", is thought to use performance improvements developed by Samsung in collaboration with chip designer Intrinsity, which was subsequently acquired by Apple[20][21] It can run at far higher clock rates than other Cortex-A8 designs yet remains fully compatible with the design provided by ARM.[22] The A4 runs at different speeds in different products: 1 GHz in the first iPads,[23] 800 MHz in the iPhone 4 and 4th-generation iPod touch, and an undisclosed speed in the 2nd-generation Apple TV.

    The A4's SGX535 GPU could theoretically push 35 million polygons per second and 500 million pixels per second, although real-world performance may be considerably less.[24] Other performance improvements include additional L2 cache.

    The A4 processor package does not contain RAM, but supports PoP installation. The 1st-generation iPad, 4th-generation iPod touch,[25] and the 2nd-generation Apple TV[26] have an A4 mounted with two low-power 128 MB DDR SDRAM chips (totaling 256 MB), while the iPhone 4 has two 256 MB packages for a total of 512 MB.[27][28][29] The RAM is connected to the processor using ARM's 64-bit-wide AMBA 3 AXI bus. To give the iPad high graphics bandwidth, the width of the RAM data bus is double that used in previous ARM11- and ARM9-based Apple devices.[30]

    Apple A5

    The Apple A5 is an SoC manufactured by Samsung[31] that replaced the A4. The chip commercially debuted with the release of Apple's iPad 2 tablet in March 2011,[32] followed by its release in the iPhone 4S smartphone later that year. Compared to the A4, the A5 CPU "can do twice the work" and the GPU has "up to nine times the graphics performance",[33] according to Apple.

    The A5 contains a dual-core ARM Cortex-A9 CPU[34] with ARM's advanced SIMD extension, marketed as NEON, and a dual core PowerVR SGX543MP2 GPU. This GPU can push between 70 and 80 million polygons/second and has a pixel fill rate of 2 billion pixels/second. The iPad 2's technical specifications page says the A5 is clocked at 1 GHz,[35] though it can adjust its frequency to save battery life.[34][36] The clock speed of the unit used in the iPhone 4S is 800 MHz. Like the A4, the A5 process size is 45 nm.[37]

    An updated 32 nm version of the A5 processor was used in the 3rd-generation Apple TV, the iPod touch (5th generation), the iPad Mini, and the new version of iPad 2 (version iPad2,4).[38] The chip in the Apple TV has one core locked.[39][40] Markings on the square package indicate that it is named APL2498, and in software, the chip is called S5L8942. The 32 nm variant of the A5 provides around 15% better battery life during web browsing, 30% better when playing 3D games and about 20% better battery life during video playback.[41]

    In March 2013, Apple released an updated version of the 3rd-generation Apple TV (Rev A, model A1469) containing a smaller, single-core version of the A5 processor. Unlike the other A5 variants, this version of the A5 is not a PoP, having no stacked RAM. The chip is very small, just 6.1×6.2 mm, but as the decrease in size is not due to a decrease in feature size (it is still on a 32 nm fabrication process), this indicates that this A5 revision is of a new design.[42] Markings tell that it is named APL7498, and in software, the chip is called S5L8947.[43][44]

    Apple A5X

    The Apple A5X is an SoC announced on March 7, 2012, at the launch of the third-generation iPad. It is a high-performance variant of the Apple A5; Apple claims it has twice the graphics performance of the A5.[45] It was superseded in the fourth-generation iPad by the Apple A6X processor.

    The A5X has a quad-core graphics unit (PowerVR SGX543MP4) instead of the previous dual-core as well as a quad-channel memory controller that provides a memory bandwidth of 12.8 GB/s, roughly three times more than in the A5. The added graphics cores and extra memory channels add up to a very large die size of 165 mm²,[46] for example twice the size of Nvidia Tegra 3.[47] This is mainly due to the large PowerVR SGX543MP4 GPU. The clock frequency of the dual ARM Cortex-A9 cores have been shown to operate at the same 1 GHz frequency as in A5.[48] The RAM in A5X is separate from the main CPU package.[49]

    Apple A6

    The Apple A6 is a PoP SoC introduced on September 12, 2012, at the launch of the iPhone 5, then a year later was inherited by its minor successor the iPhone 5C. Apple states that it is up to twice as fast and has up to twice the graphics power compared to its predecessor the Apple A5.[50] It is 22% smaller and draws less power than the 45 nm A5.[51]

    The A6 is said to use a 1.3 GHz[52] custom[53] Apple-designed ARMv7 based dual-core CPU, called Swift,[54] rather than a licensed CPU from ARM like in previous designs, and an integrated 266 MHz triple-core PowerVR SGX 543MP3[55] graphics processing unit (GPU). The Swift core in the A6 uses a new tweaked instruction set, ARMv7s, featuring some elements of the ARM Cortex-A15 such as support for the Advanced SIMD v2, and VFPv4.[53] The A6 is manufactured by Samsung on a high-κ metal gate (HKMG) 32 nm process.[56]

    Apple A6X

    Apple A6X is an SoC introduced at the launch of the fourth-generation iPad on October 23, 2012. It is a high-performance variant of the Apple A6. Apple claims the A6X has twice the CPU performance and up to twice the graphics performance of its predecessor, the Apple A5X.[57]

    Like the A6, this SoC continues to use the dual-core Swift CPU, but it has a new quad core GPU, quad channel memory and slightly higher 1.4 GHz CPU clock rate.[58] It uses an integrated quad-core PowerVR SGX 554MP4 graphics processing unit (GPU) running at 300 MHz and a quad-channel memory subsystem.[58][59] Compared to the A6 the A6X is 30% larger, but it continues to be manufactured by Samsung on a high-κ metal gate (HKMG) 32 nm process.[59]

    Apple A7

    The Apple A7 is a 64-bit PoP SoC whose first appearance was in the iPhone 5S, which was introduced on September 10, 2013. The chip would also be used in the iPad Air, iPad Mini 2 and iPad Mini 3. Apple states that it is up to twice as fast and has up to twice the graphics power compared to its predecessor the Apple A6.[60] The Apple A7 chip is the first 64-bit chip to be used in a smartphone.[61]

    The A7 features an Apple-designed 1.3[62]–1.4[63] GHz 64-bit[64] ARMv8-A[65][66] dual-core CPU,[62] called Cyclone,[65] and an integrated PowerVR G6430 GPU in a four cluster configuration.[67] The ARMv8-A architecture doubles the number of registers of the A7 compared to the A6.[68] It now has 31 general-purpose registers that are each 64-bits wide and 32 floating-point/NEON registers that are each 128-bits wide.[64] The A7 is manufactured by Samsung on a high-κ metal gate (HKMG) 28 nm process[69] and the chip includes over 1 billion transistors on a die 102 mm2 in size.[62]

    Apple A8

    The Apple A8 is a 64-bit PoP SoC manufactured by TSMC. Its first appearance was in the iPhone 6 and iPhone 6 Plus, which were introduced on September 9, 2014.[70] A year later it would drive the iPad Mini 4. Apple states that it has 25% more CPU performance and 50% more graphics performance while drawing only 50% of the power compared to its predecessor, the Apple A7.[71] On February 9, 2018 Apple released the HomePod, which is powered by an Apple A8 with 1 GB of RAM.[72]

    The A8 features an Apple-designed 1.4[73] GHz 64-bit[74] ARMv8-A[74] dual-core CPU, and an integrated custom PowerVR GX6450 GPU in a four cluster configuration.[73] The GPU features custom shader cores and compiler.[75] The A8 is manufactured on a 20 nm process[76] by TSMC,[77] which replaced Samsung as the manufacturer of Apple's mobile device processors. It contains 2 billion transistors. Despite that being double the number of transistors compared to the A7, its physical size has been reduced by 13% to 89 mm2 (consistent with a shrink only, not known to be a new microarchitecture).[78]

    Apple A8X

    The Apple A8X is a 64-bit SoC introduced at the launch of the iPad Air 2 on October 16, 2014.[79] It is a high performance variant of the Apple A8. Apple states that it has 40% more CPU performance and 2.5 times the graphics performance of its predecessor, the Apple A7.[79][80]

    Unlike the A8, this SoC uses a triple-core CPU, a new octa-core GPU, dual channel memory and slightly higher 1.5 GHz CPU clock rate.[81] It uses an integrated custom octa-core PowerVR GXA6850 graphics processing unit (GPU) running at 450 MHz and a dual-channel memory subsystem.[81] It is manufactured by TSMC on their 20 nm fabrication process, and consists of 3 billion transistors.

    Apple A9

    The Apple A9 is a 64-bit ARM-based SoC that first appeared in the iPhone 6S and 6S Plus, which were introduced on September 9, 2015.[82] Apple states that it has 70% more CPU performance and 90% more graphics performance compared to its predecessor, the Apple A8.[82] It is dual sourced, a first for an Apple SoC; it is manufactured by Samsung on their 14 nm FinFET LPE process and by TSMC on their 16 nm FinFET process. It was subsequently included in the first-generation iPhone SE, and the iPad (2017). The Apple A9 was the last CPU that Apple manufactured through a contract with Samsung, as all A-series chips after are manufactured by TSMC.

    Apple A9X

    The Apple A9X is a 64-bit SoC that was announced on September 9, 2015, and released on November 11, 2015, and first appeared in the iPad Pro.[83] It offers 80% more CPU performance and two times the GPU performance of its predecessor, the Apple A8X. It is manufactured by TSMC using a 16 nm FinFET process.[84]

    Apple A10 Fusion

    The Apple A10 Fusion is a 64-bit ARM-based SoC that first appeared in the iPhone 7 and 7 Plus, which were introduced on September 7, 2016.[85] The A10 is also featured in the 2018 iPad, 2019 iPad and 7th generation iPod Touch.[86] It has a new ARM big.LITTLE quad core design with two high performance cores, and two smaller highly efficient cores. It is 40% faster than the A9, with 50% faster graphics. It is manufactured by TSMC on their 16 nm FinFET process.

    Apple A10X Fusion

    The Apple A10X Fusion is a 64-bit ARM-based SoC that first appeared in the 10.5" iPad Pro and the second generation of the 12.9" iPad Pro, which were both announced on June 5, 2017.[87] It is a variant of the A10 and Apple claims that it has 30 percent faster CPU performance and 40 percent faster GPU performance than its predecessor, the A9X.[87] On September 12, 2017, Apple announced that the Apple TV 4K would be powered by an A10X chip. It is made by TSMC on their 10 nm FinFET process.[88]

    Apple A11 Bionic

    The Apple A11 Bionic is a 64-bit ARM-based SoC[89] that first appeared in the iPhone 8, iPhone 8 Plus, and iPhone X, which were introduced on September 12, 2017.[89] It has two high-performance cores, which are 25% faster than the A10 Fusion, and four high-efficiency cores, which are 70% faster than the energy-efficient cores in the A10.[89][90] It is also the first A-series chip to feature Apple's "Neural Engine," which enhances artificial intelligence and machine learning processes.[91]

    Apple A12 Bionic

    The Apple A12 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone XS, XS Max and XR, which were introduced on September 12, 2018. It is also within the 2019 models of the iPad Air and iPad Mini. It has two high-performance cores, which are 15% faster than the A11 Bionic, and four high-efficiency cores, which have 50% lower power usage than the energy-efficient cores in the A11 Bionic.[92] The A12 is manufactured by TSMC[93] using a 7 nm[94] FinFET process, the first to ship in a smartphone.[95][93]

    Apple A12X Bionic

    The Apple A12X Bionic is a 64-bit ARM-based SoC that first appeared in the 11.0" iPad Pro and the third generation of the 12.9" iPad Pro, which were both announced on October 30, 2018.[96] It offers 35% faster single-core and 90% faster multi-core CPU performance than its predecessor, the A10X. It has four high-performance cores and four high-efficiency cores. The A12X is manufactured by TSMC using a 7 nm FinFET process.

    Apple A12Z Bionic

    The Apple A12Z Bionic is a 64-bit ARM-based SoC based on the A12X that first appeared in the fourth generation iPad Pro, which was announced on March 18, 2020.[97] The A12Z is also used in the Developer Transition Kit prototype computer that helps developers prepare their software for Macs based on Apple silicon.[98]

    Apple A13 Bionic

    The Apple A13 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone 11, 11 Pro, and 11 Pro Max, which were introduced on September 10, 2019. It is also featured in the second-generation iPhone SE, which was introduced on April 15, 2020.

    The entire A13 Bionic SoC features a total of 18 cores – a six-core CPU, four-core GPU, and an eight-core Neural Engine processor, which is dedicated to handling on-board machine learning processes; four of the six cores on the CPU are low-powered cores that are dedicated to handling less CPU-intensive operations, such as voice calls, browsing the Web, and sending messages, while two higher-performance cores are used only for more CPU-intensive processes, such as recording 4K video or playing a video game.[99]

    Apple A14 Bionic

    The Apple A14 Bionic is a 64-bit ARM-based SoC that first appeared in the 2020 iPad Air and iPhone 12, released on October 23, 2020. It is the first commercially available 5 nm chipset and it contains 11.8 billion transistors and a 16-core AI processor.[100] It includes Samsung LPDDR4X DRAM, a 6-core CPU, and 4-Core GPU with real time machine learning capabilities.

    S series

    The Apple "S" series is a family of Systems in a Package (SiP) used in the Apple Watch. It uses a customized application processor that together with memory, storage and support processors for wireless connectivity, sensors and I/O comprise a complete computer in a single package. They are designed by Apple and manufactured by contract manufacturers such as Samsung.

    Apple S1

    The Apple S1 is an integrated computer. It includes memory, storage and support circuits like wireless modems and I/O controllers in a sealed integrated package. It was announced on September 9, 2014 as part of the "Wish we could say more" event. Its first appearance was in the original Apple Watch.[101]

    Apple S1P

    Used in Apple Watch Series 1. It has a dual-core processor almost identical to the S2, with the exception of the built-in GPS receiver.

    Apple S2

    Used in the Apple Watch Series 2. It has a dual-core processor and a built-in GPS receiver.

    Apple S3

    Used in the Apple Watch Series 3. It has a dual-core processor that is 70% faster than the Apple S2 and a built-in GPS receiver.[102] There is also an option for a cellular modem and an internal eSIM module.[102] It also includes the W2 chip.[102]

    Apple S4

    Used in the Apple Watch Series 4. It has a custom 64-bit dual-core processor with up to 2× faster performance. It also contains the W3 wireless chip, which supports Bluetooth 5.

    Apple S5

    Used in the Apple Watch Series 5, Apple Watch SE, and HomePod mini.[103] It adds a built-in magnetometer to the custom 64-bit dual-core processor and GPU of the S4.[104]

    Apple S6

    Used in the Apple Watch Series 6. It has a custom 64-bit dual-core processor that runs up to 20 percent faster than the S5.[105][106] The dual cores in the S6 are based on the A13's energy-efficient "little" Thunder cores. Like the S4 and S5, it also contains the W3 wireless chip.[106] The S6 adds the new U1 ultra wideband chip, an always-on altimeter, and 5 GHz WiFi.[105][106]

    T series

    Apple T1

    The Apple T1 chip is an ARMv7 SoC (derived from the processor in S2 SiP) that drives the System Management Controller (SMC) and Touch ID sensor of the 2016 and 2017 MacBook Pro with Touch Bar.[107] This chip operates as a secure enclave for processing and encrypting fingerprints and as a gatekeeper that to the microphone and FaceTime HD camera, protecting them from hacking. The T1 runs bridgeOS,[108] a variant of watchOS;[108] while the Intel CPU runs macOS.[108]

    Apple T2

    The Apple T2 Security Chip is a SoC first released in the iMac Pro 2017. It is a 64-bit ARMv8 chip (a variant of the A10, or T8010), and runs bridgeOS 2.0.[109][110] It provides a secure enclave for encrypted keys, enables users to lock down the computer's boot process, handles system functions like the camera and audio control, and handles on-the-fly encryption and decryption for the solid-state drive.[111][112][113] T2 also delivers "enhanced imaging processing" for the iMac Pro's FaceTime HD camera.[114][115] On July 12, 2018, Apple released an updated MacBook Pro that includes the T2 chip, which among other things enables the "Hey Siri" feature.[116][117] On November 7, 2018, Apple released an updated Mac mini and MacBook Air with the T2 chip.[118][119] On August 4, 2020, a refresh of the 5K iMac was announced, including the T2 chip.[120]

    On October 6, 2020, Apple announced that a hardware flaw in the chip's security features might be hackable in a way that cannot be patched, using a similar method as the jailbreaking of the iPhone with A10 chip, since the T2 chip is based on the A10 chip. Apple was notified of this vulnerability but chose not to respond before security researchers publicly disclosed the vulnerability.[121] It was later discovered by YouTuber Martin Nobel that this vulnerability can allow users to implement custom Mac startup sounds.[122][123]

    W series

    The Apple "W" series is a family of SoCs and wireless chips with a focus on Bluetooth and Wi-Fi connectivity. "W" in model numbers stands for wireless.

    Apple W1

    The Apple W1 is a SoC used in the 2016 AirPods and select Beats headphones.[124][125] It maintains a Bluetooth[126] Class 1 connection with a computer device and decodes the audio stream that is sent to it.[127]

    Apple W2

    The Apple W2, used in the Apple Watch Series 3, is integrated into the Apple S3 SiP. Apple said the chip makes Wi-Fi 85% faster and allows Bluetooth and Wi-Fi to use half the power of the W1 implementation.[102]

    Apple W3

    The Apple W3 is used in the Apple Watch Series 4,[128] Series 5,[129] Series 6.[106] and SE.[106]It is integrated into the Apple S4, S5, and S6 SiPs. It supports Bluetooth 5.0.

    H series

    Apple H1

    The Apple H1 chip was first used in the 2019 version of AirPods, and was later used in the Powerbeats Pro, the Beats Solo Pro, the AirPods Pro, the 2020 Powerbeats, and the AirPods Max.[130] Specifically designed for headphones ("H" in a model number stands for headphones), it has Bluetooth 5.0, supports hands-free "Hey Siri" commands,[131] and offers 30 percent lower latency than the W1 chip used in earlier AirPods.[132]

    M series

    The Apple M series is a family of Systems in a Package (SiP) used in Mac computers. The "M" designation was previously used for Apple motion coprocessors.

    Apple M1

    The M1 chip, Apple's first processor designed for use in Macs, is manufactured using TSMC's 5 nm process. It was announced on November 10, 2020, and is used in the M1 MacBook Air, Mac mini, and MacBook Pro (2020).[133]

    Miscellaneous devices

    This segment is about a variety of Apple designed processors, not easily sorted into another section.

    The 339S0196 is a ARM-based microcontroller used in Apple's Lightning Digital AV Adapter, a Lightning to HDMI adapter. This is a miniature computer with 256 MB RAM, running a XNU kernel loaded from the connected iOS device, then taking a serial signal from the iOS device translating that into a proper HDMI signal.[134][135]

    List of Apple processors

    A series list

    NameModel no.ImageSemiconductor technologyDie size Transistor countCPU ISACPUCPU cacheGPU FLOPS FP32/FP16 AI acceleratorMemory technologyIntroducedUtilizing devicesInitial OSTerminal OS
    APL0098 90 nm[8] 72 mm2[5] ARMv6 412 MHz single-core ARM11 L1i: 16 KB
    L1d: 16 KB
    PowerVR MBX Lite @ 103 MHz N/A 16-bit Single-channel 133 MHz LPDDR (533 MB/s)[136] June 2007 iPhone OS 1.0 iOS 4.2.1
    APL0278 65 nm[5] 36 mm2[5] ARMv6 412–533 MHz single-core ARM11 L1i: 16 KB
    L1d: 16 KB
    PowerVR MBX Lite @ 133 MHz 32-bit Single-channel 133 MHz LPDDR (1066 MB/s) September 2008 iPhone OS 2.1.1
    APL0298 65 nm[8] 71.8 mm2[16] ARMv7 600 MHz single-core Cortex-A8 L1i: 32 KB
    L1d: 32 KB
    L2: 256 KB
    PowerVR SGX535 32-bit Single-channel 200 MHz LPDDR (1.6 GB/s) June 2009 iPhone OS 3.0 iOS 6.1.6
    APL2298 45 nm[5] 41.6 mm2[5] ARMv7 600–800 MHz single-core Cortex-A8 L1i: 32 KB
    L1d: 32 KB
    L2: 256 KB
    PowerVR SGX535 @ 200 MHz 32-bit Single-channel 200 MHz LPDDR (1.6 GB/s) September 2009 iPhone OS 3.1.1 iOS 5.1.1
    A4 APL0398 45 nm[5][16] 53.3 mm2[5][16] ARMv7 0.8–1.0 GHz single-core Cortex-A8 L1i: 32 KB
    L1d: 32 KB
    L2: 512 KB
    PowerVR SGX535[137] 32-bit Dual-channel 200 MHz LPDDR (3.2 GB/s) March 2010 iPhone OS 3.2 iOS 5.1.1
    iOS 6.1.6
    iOS 7.1.2
    A5 APL0498 45 nm[37] 122.2 mm2[37] ARMv7 0.8–1.0 GHz dual-core Cortex-A9 L1i: 32 KB
    L1d: 32 KB
    L2: 1 MB
    PowerVR SGX543MP2 (dual-core) @ 200 MHz (12.8 GFLOPS)[138] 32-bit Dual-channel 400 MHz LPDDR2-800 (6.4 GB/s) March 2011 iOS 4.3 iOS 9.3.5
    iOS 9.3.6
    APL2498 32 nm HK MG[38] 69.6 mm2[38] 0.8–1.0 GHz dual-core Cortex-A9 (one core locked in Apple TV) L1i: 32 KB
    L1d: 32 KB
    L2: 1 MB
    PowerVR SGX543MP2 (dual-core) @ 200 MHz (12.8 GFLOPS)[138] 32-bit Dual-channel 400 MHz LPDDR2-800 (6.4 GB/s) March 2012 iOS 5.1
    APL7498 32 nm HKMG[44] 37.8 mm2[44] Single-core Cortex-A9 L1i: 32 KB
    L1d: 32 KB
    L2: 1 MB
    PowerVR SGX543MP2 (dual-core) @ 200 MHz (12.8 GFLOPS)[138] 32-bit Dual-channel 400 MHz LPDDR2-800 (6.4 GB/s) March 2013
    A5X APL5498 45 nm[46] 165 mm2[46] ARMv7 1.0 GHz dual-core Cortex-A9 L1i: 32 KB
    L1d: 32 KB
    L2: 1 MB
    PowerVR SGX543MP4 (quad-core) @ 200 MHz (25 GFLOPS)[138] 32-bit Quad-channel 400 MHz LPDDR2-800[139] (12.8 GB/s) March 2012 iOS 5.1 iOS 9.3.5
    iOS 9.3.6
    A6 APL0598 32 nm HKMG[56][140] 96.71 mm2[56][140] ARMv7s[141] 1.3 GHz[142] dual-core Swift[53] L1i: 32 KB
    L1d: 32 KB
    L2: 1 MB[143]
    PowerVR SGX543MP3 (triple-core) @ 266 MHz (25.5 GFLOPS)[55] 32-bit Dual-channel 533 MHz LPDDR2-1066[144] (8.528 GB/s) September 2012 iOS 6.0 iOS 10.3.3
    iOS 10.3.4
    A6X APL5598 32 nm HKMG[59] 123 mm2[59] ARMv7s[141] 1.4 GHz dual-core Swift[58] L1i: 32 KB
    L1d: 32 KB
    L2: 1 MB
    PowerVR SGX554MP4 (quad-core) @ 266 MHz (68.1 GFLOPS)[58][145] 32-bit Quad-channel 533 MHz LPDDR2-1066 (17.1 GB/s)[146] October 2012
    A7 APL0698 28 nm HKMG[69] 102 mm2[64] ≈1 billion ARMv8.0-A[65] 1.3 GHz[62] dual-core Cyclone[65] L1i: 64 KB
    L1d: 64 KB
    L2: 1 MB
    L3: 4 MB[65] (Inclusive)[147]
    PowerVR G6430 (quad-core) @ 450 MHz (115.2 GFLOPS)[67][145] 64-bit Single-channel 800 MHz LPDDR3-1600[74] (12.8 GB/s)[148] September 2013 iOS 7.0 iOS 12.5.1
    APL5698 28 nm HKMG[149] 102 mm2[64][149] ≈1 billion 1.4 GHz[63] dual-core Cyclone[65] L1i: 64 KB
    L1d: 64 KB
    L2: 1 MB
    L3: 4 MB[63] (Inclusive)[147]
    PowerVR G6430 (quad-core) @ 450 MHz (115.2 GFLOPS)[145] 64-bit Single-channel 800 MHz LPDDR3-1600[74] (12.8 GB/s)[148] October 2013 iOS 7.0.3
    A8 APL1011 20 nm (TSMC)[74] 89 mm2[150] ~2 billion ARMv8.0-A[73] 1.1–1.5 GHz dual-core Typhoon[73][151] L1i: 64 KB
    L1d: 64 KB
    L2: 1 MB
    L3: 4 MB[73] (Inclusive)[147]
    Custom PowerVR GXA6450 (quad-core)[75][152][153] @ ~533 MHz (136.5 GFLOPS) 64-bit Single-channel 800 MHz LPDDR3-1600[74] (12.8 GB/s)[148] September 2014 iOS 8.0

    tvOS 9.0

    iOS 12.5.1


    Current

    A8X APL1012 20 nm (TSMC)[81][154] 128 mm2[81] ~3 billion ARMv8.0-A 1.5 GHz triple-core Typhoon[81][151] L1i: 64 KB
    L1d: 64 KB
    L2: 2 MB
    L3: 4 MB[81] (Inclusive)[147]
    Custom PowerVR GXA6850 (octa-core)[75][81][154] @ ~450 MHz (230.4 GFLOPS) 64-bit Dual-channel 800 MHz LPDDR3-1600[81] (25.6 GB/s)[148] October 2014 iOS 8.1 Current
    A9 APL0898 14 nm FinFET (Samsung)[155] 96 mm2[156] >2 billion ARMv8.0-A 1.85 GHz dual-core Twister[157][158] L1i: 64 KB
    L1d: 64 KB
    L2: 3 MB
    L3: 4 MB (Victim)[147][159]
    Custom PowerVR GT7600 (hexa-core)[75][160] @ 650 MHz (249.6 GFLOPS) 64-bit Single-channel 1600 MHz LPDDR4-3200[158][159] (25.6 GB/s)[158] September 2015 iOS 9.0 Current
    APL1022 16 nm FinFET (TSMC)[156] 104.5 mm2[156]
    A9X APL1021 16 nm FinFET (TSMC)[161] 143.9 mm2[161][88] >3 billion ARMv8.0-A 2.16–2.26 GHz dual-core Twister[162][163] L1i: 64 KB
    L1d: 64 KB
    L2: 3 MB
    L3: none[147][161]
    Custom PowerVR GTA7850 (12-core)[75][161] @ 650 MHz (499.2 GFLOPS) 64-bit Dual-channel 1600 MHz LPDDR4-3200 (51.2 GB/s) November 2015 iOS 9.1 Current
    A10 Fusion APL1W24 16 nm FinFET (TSMC)[164] 125 mm2[164] 3.3 billion ARMv8.1-A 2.34 GHz quad-core (2× Hurricane + 2× Zephyr)[165] L1i: 64 KB
    L1d: 64 KB
    L2: 3 MB
    L3: 4 MB
    Custom PowerVR GT7600 Plus (hexa-core)[75][166][167] @ 900 MHz (345.6 GFLOPS[168]) 64-bit Single-channel 1600 MHz LPDDR4 (25.6 GB/s) September 2016 iOS 10.0 Current
    A10X Fusion APL1071[169] 10 nm FinFET (TSMC)[88] 96.4 mm2[88] >4 billion ARMv8.1-A 2.36 GHz hexa-core (3× Hurricane + 3× Zephyr)[170] L1i: 64 KB
    L1d: 64 KB
    L2: 8 MB
    L3: none[170]
    Custom PowerVR GT7600 Plus (12-core)[75][87] ~@ 1000 MHz (~768 GFLOPS) 64-bit Dual-channel 1600 MHz LPDDR4[170][169] (51.2 GB/s) June 2017 iOS 10.3.2

    tvOS 11.0

    Current
    A11 Bionic APL1W72 10 nm FinFET (TSMC) 87.66 mm2[171] 4.3 billion ARMv8.2-A[172] 2.39 GHz hexa-core (2× Monsoon + 4× Mistral) L1i: 64 KB
    L1d: 64 KB
    L2: 8 MB
    L3: none[173]
    Custom design (triple-core) @ 1066 MHz (~408 GFLOPS) Neural Engine (dual-core) 600 BOPS 64-bit Single-channel 2133 MHz LPDDR4X[174][175] (34.1 GB/s) September 2017 iOS 11.0 Current
    A12 Bionic APL1W81 7 nm FinFET (TSMC N7) 83.27 mm2[176] 6.9 billion ARMv8.3-A[177] 2.49 GHz hexa-core (2× Vortex + 4× Tempest)[178] L1i: 128 KB
    L1d: 128 KB
    L2: 8 MB
    L3: none[178]
    Custom design (quad-core) ~@ 1125 MHz (~576 GFLOPS) Neural Engine (octa-core) 5 TOPS 64-bit Single-channel 2133 MHz LPDDR4X[179][180] (34.1 GB/s) September 2018 iOS 12.0 Current
    A12X Bionic APL1083 7 nm FinFET (TSMC N7) ≈135 mm2[181] 10 billion ARMv8.3-A[177] 2.49 GHz octa-core (4× Vortex + 4× Tempest) L1i: 128 KB
    L1d: 128 KB
    L2: 8 MB
    L3: none[182]
    Custom design (hepta-core) ~@ 1340 MHz (~1200 GFLOPS) Neural Engine (octa-core) 5 TOPS 64-bit Dual-channel 2133 MHz LPDDR4X (68.2 GB/s) October 2018 iOS 12.1 Current
    A12Z Bionic Custom design (octa-core) @ 1266 MHz (~1296 GFLOPS) March 2020 iPadOS 13.4 Current
    June 2020 macOS 11 "Big Sur" (Beta) Current
    A13 Bionic APL1W85 7 nm FinFET (TSMC N7P) 98.48 mm2[183] 8.5 billion ARMv8.4-A[184] 2.65 GHz hexa-core (2× Lightning + 4× Thunder) L1i: 128 KB
    L1d: 128 KB
    L2: 8 MB
    L3: none[185]
    Custom design (quad-core) ~@ 1575 MHz (~806 GFLOPS) Neural Engine (octa-core) + AMX blocks (dual-core) 5.5 TOPS 64-bit Single-channel 2133 MHz LPDDR4X (34.1 GB/s)[186] September 2019 iOS 13.0 Current
    A14 Bionic APL1W01 5 nm FinFET (TSMC N5) 88 mm2[187] 11.8 billion ARMv8.6-A 2.99 GHz hexa-core (2× Firestorm + 4× Icestorm)

    L1i: 192 KB
    L1d: 128 KB
    L2: 8 MB
    L3: none

    Custom design (quad-core) Neural Engine (16-core) 11 TOPS 64-bit Single-channel 2133 MHz LPDDR4X (34.1 GB/s) September 2020 iOS 14.0 Current
    NameModel no.ImageSemiconductor technologyDie size Transistor countCPU ISACPUCPU cacheGPU FLOPS FP32/FP16 AI acceleratorMemory technologyIntroducedUtilizing devicesInitial OSTerminal OS

    S series list

    NameModel no.ImageSemiconductor technologyDie sizeCPU ISACPUCPU cacheGPUMemory technology ModemIntroducedUtilizing devicesInitial OSTerminal OS
    S1 APL0778[188] 28 nm HK MG[189][190] 32 mm2[189] ARMv7k[190][191] 520 MHz single-core Cortex-A7[190] L1d: 32 KB[190]
    L2: 256 KB[190]
    PowerVR Series 5[190][192] LPDDR3[193] April 2015 watchOS 1.0 watchOS 4.3.2
    S1P TBC TBC TBC ARMv7k[194][195][196] 520 MHz dual-core Cortex-A7 without GPS[194] TBC PowerVR Series 6 'Rogue'[194] LPDDR3 September 2016 watchOS 3.0 watchOS 6.3
    S2 TBC TBC TBC ARMv7k[194][195][196] 520 MHz dual-core Cortex-A7 with GPS[194] TBC LPDDR3
    S3 TBC TBC TBC ARMv7k[197] Dual-core TBC TBC LPDDR4 Qualcomm MDM9635M (Snapdragon X7 LTE) September 2017 watchOS 4.0 Current
    S4 TBC TBC TBC ARMv8-A ILP32[198][199] Dual-core Tempest TBC Apple G11M[199] TBC TBC September 2018 watchOS 5.0 Current
    S5 TBC TBC TBC ARMv8-A ILP32 Dual-core Tempest TBC Apple G11M TBC TBC September 2019 watchOS 6.0 Current
    S6 TBC TBC TBC TBC Dual-core Thunder TBC TBC TBC TBC September 2020 watchOS 7.0 Current

    T series list

    NameModel no.ImageSemiconductor technologyDie sizeCPU ISACPUCPU cacheGPUMemory technologyIntroducedUtilizing devices
    T1 APL1023[200] ARMv7 TBD October 2016
    T2 APL1027[201] ARMv8-A TBD LPDDR4 December 2017

    W series list

    NameModel no.ImageSemiconductor technologyDie sizeCPU ISACPUCPU cacheMemory technologyBluetoothIntroducedUtilizing devices
    W1 343S00130[202]
    343S00131[202]
    TBC 14.3 mm2[202] TBC TBC TBC TBC 4.2 September 2016
    • AirPods (1st gen.)
    • Beats Solo3
    • Beats Studio3
    • Powerbeats3
    • BeatsX
    • Beats Flex
    W2 338S00348[203] TBC TBC TBC TBC TBC TBC 4.2 September 2017
    W3 338S00464[204] TBC TBC TBC TBC TBC TBC 5.0 September 2018

    H series list

    NameModel no.Image BluetoothIntroducedUtilizing devices
    H1 343S00289 (AirPods gen.2)[205]
    343S00290 (AirPods gen.2)[206]
    343S00404 (AirPods Max)[207]
    H1 SiP (AirPods Pro)[208]

    5.0 March 2019

    U series list

    NameModel no.Image Semiconductor technologyIntroducedUtilizing devices
    U1 TMKA75[210] 16 nm FinFET (TSMC 16FF) September 2019

    M series list

    NameModel no.ImageSemiconductor technologyDie size Transistor countCPU ISACPUCPU cacheGPU AI acceleratorMemory technologyIntroducedUtilizing devicesInitial OSTerminal OS
    M1 APL1102 5 nm (TSMC) 119 mm2[211] 16 billion ARMv8.6-A 3.2 GHz 8-core
    (4× Firestorm + 4× Icestorm)

    Performance Cores:
    L1i: 192 kB
    L1d: 128 kB
    L2: 12 MB shared

    Efficiency Cores:
    L1i: 128 kB
    L1d: 64 kB
    L2: 4 MB shared

    7- or 8-core
    (up to 2.6 TFLOPs)
    16-core

    (11 TOPS)

    64-bit Dual Channel

    4266 MHz LPDDR4X

    (68.2 GB/s)[212]

    November 2020 macOS Big Sur Current

    Miscellaneous

    Model no.ImageIntroducedCPU ISASpecsApplicationUtilizing devicesOperating system
    339S0196 March 2011 Arm 256 MB RAM Lightning to HDMI conversion Apple Digital AV Adapter XNU

    See also

    Similar platforms

    References

    1. "Apple announces Mac transition to Apple silicon" (Press release). Apple. June 22, 2020. Archived from the original on June 22, 2020. Retrieved June 23, 2020.
    2. Warren, Tom (June 22, 2020). "Apple is switching Macs to its own processors starting later this year". The Verge. Archived from the original on June 22, 2020. Retrieved June 22, 2020.
    3. "The Most Important Apple Executive You've Never Heard Of". Bloomberg News. Archived from the original on March 31, 2019. Retrieved June 18, 2016.
    4. "iPhone 1st Generation Teardown". iFixit. June 29, 2007. Step 25. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
    5. Choi, Young (May 10, 2010). "Analysis gives first look inside Apple's A4 processor". EETimes. Archived from the original on September 15, 2013. Retrieved September 15, 2013.
    6. "That iPod touch runs at 533 MHz". TechHive. November 25, 2008. Archived from the original on June 6, 2011. Retrieved February 26, 2019.
    7. "iPod Touch 2nd Generation Teardown". iFixit. September 10, 2008. Step 15. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
    8. Shimpi, Anand Lal (June 10, 2009). "The iPhone 3GS Hardware Exposed & Analyzed". AnandTech. Archived from the original on June 14, 2017. Retrieved September 13, 2013.
    9. Lovejoy, Ben (July 18, 2016). "Apple reportedly dropping Samsung for not only A10 in iPhone 7 but also A11 in iPhone 8". 9to5Mac. Archived from the original on July 3, 2020. Retrieved July 1, 2020.
    10. Clark, Don (April 5, 2010). "Apple iPad Taps Familiar Component Suppliers - WSJ.com". Online.wsj.com. Archived from the original on September 19, 2018. Retrieved April 15, 2010.
    11. Boldt, Paul; Scansen, Don; Whibley, Tim (June 16, 2010). "Apple's A4 dissected, discussed...and tantalizing". EETimes.com. Archived from the original on December 29, 2012. Retrieved July 7, 2010.
    12. "Microsoft PowerPoint - Apple A4 vs SEC S5PC110A01" (PDF). Archived from the original (PDF) on July 4, 2010. Retrieved July 7, 2010.
    13. "Apple Launches iPad" (Press release). Apple. January 27, 2010. Archived from the original on May 25, 2017. Retrieved January 28, 2010.
    14. Wiens, Kyle (April 5, 2010). "Apple A4 Teardown". iFixit. Step 20. Archived from the original on June 23, 2020. Retrieved June 19, 2020. It's clear from both hardware and software that this is a single core processor, so it must be the ARM Cortex A8, and NOT the rumored multicore A9.
    15. Melanson, Donald (February 23, 2010). "iPad confirmed to use PowerVR SGX graphics". Engadget. Archived from the original on December 29, 2012. Retrieved August 24, 2017.
    16. "Chipworks Confirms Apple A4 iPad chip is fabbed by Samsung in their 45-nm process". Chipworks. April 15, 2010. Archived from the original on September 21, 2010.
    17. "iPad - It's thin, light, powerful, and revolutionary". Apple. Archived from the original on July 6, 2010. Retrieved July 7, 2010.
    18. "iPhone 4 design". Apple. July 6, 2010. Archived from the original on July 6, 2010.
    19. Vance, Ashlee (February 21, 2010). "For Chip Makers, the Next Battle Is in Smartphones". New York Times. Archived from the original on February 25, 2010. Retrieved February 25, 2010.
    20. Stokes, Jon (April 28, 2010). "Apple purchase of Intrinsity confirmed". Ars Technica. Archived from the original on April 28, 2010. Retrieved April 28, 2010.
    21. Merritt, Rick. "Samsung, Intrinsity pump ARM to GHz rate". EETimes.com. Archived from the original on December 29, 2012. Retrieved April 23, 2010.
    22. Keizer, Gregg (April 6, 2010). "Apple iPad smokes past the iPhone 3GS in speed". PC World. Archived from the original on April 20, 2010. Retrieved April 11, 2010.
    23. "iPad — Technical specifications". Apple. Archived from the original on February 15, 2015. Retrieved October 16, 2016.
    24. "Apple iPad 2 GPU Performance Explored: PowerVR SGX543MP2 Benchmarked - AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Archived from the original on March 18, 2011. Retrieved March 15, 2011.
    25. "Teardown of Apple's 4th-gen iPod touch finds 256MB of RAM". Appleinsider.com. September 8, 2010. Archived from the original on September 11, 2010. Retrieved September 10, 2010.
    26. "Apple TV 2nd Generation Teardown". iFixit. September 30, 2010. Archived from the original on June 23, 2020. Retrieved June 19, 2020.
    27. "Apple reveals iPhone 4 has 512MB RAM, doubling iPad - report". AppleInsider. June 17, 2010. Archived from the original on July 4, 2010. Retrieved July 7, 2010.
    28. "A Peek Inside Apple's A4 Processor". iFixit. April 5, 2010. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
    29. Greenberg, Marc (April 9, 2010). "Apple iPad: no LPDDR2?". Denali. Archived from the original on February 26, 2019. Retrieved February 26, 2019.
    30. Merritt, Rick (April 9, 2010). "iPad equipped to deliver richer graphics". EE Times Asia. Archived from the original on September 27, 2011. Retrieved April 14, 2010.
    31. "Updated: Samsung fabs Apple A5 processor". EETimes.com. March 12, 2011. Archived from the original on May 9, 2013. Retrieved March 15, 2011.
    32. "Apple announces redesigned iPad 2: A5 CPU, 2 cameras, ships March 11". AppleInsider. Archived from the original on June 23, 2020. Retrieved June 13, 2020.
    33. "Apple iPad 2 feature page". Apple.com. Archived from the original on March 16, 2011. Retrieved March 15, 2011.
    34. "Apple iPad 2 Preview - AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Archived from the original on December 13, 2017. Retrieved March 15, 2011.
    35. "iPad 2 - Technical Specifications". Apple. Archived from the original on February 13, 2015. Retrieved October 16, 2016.
    36. "Inside Apple's iPad 2 A5: fast LPDDR2 RAM, costs 66% more than Tegra 2". AppleInsider. Archived from the original on December 29, 2012. Retrieved March 15, 2011.
    37. "A First Look at Apple's A5 Processor". Chipworks. March 12, 2011. Archived from the original on November 1, 2013. Retrieved September 15, 2013.
    38. "Update – 32-nm Apple A5 in the Apple TV 3 – and an iPad 2!". Chipworks. April 11, 2012. Archived from the original on October 24, 2013. Retrieved September 15, 2013.
    39. "Single-core A5 CPU in new 1080p Apple TV doubles RAM to 512MB". AppleInsider. Archived from the original on March 20, 2012. Retrieved March 19, 2012.
    40. "Update – 32-nm Apple A5 in the Apple TV 3 – and an iPad 2!". ChipWorks. April 11, 2012. Archived from the original on April 13, 2012. Retrieved April 12, 2012.
    41. "The iPad 2,4 Review: 32nm Brings Better Battery Life". AnandTech. Archived from the original on November 11, 2012. Retrieved November 1, 2012.
    42. "A5 Chip in Tweaked Apple TV Still Manufactured by Samsung at 32nm". Archived from the original on March 14, 2013. Retrieved March 12, 2013.
    43. "Tweaked Apple TV Contains Die-Shrunk A5 Chip, Not A5X". Archived from the original on March 10, 2013. Retrieved March 10, 2013.
    44. "Apple's TV surprise – a new A5 chip!". Chipworks. March 12, 2013. Archived from the original on November 10, 2013. Retrieved September 15, 2013.
    45. "Apple Launches New iPad". Apple. March 7, 2012. Archived from the original on March 8, 2012. Retrieved September 17, 2013.
    46. "The Apple A5X versus the A5 and A4 – Big Is Beautiful". Chipworks. March 19, 2012. Archived from the original on December 5, 2013. Retrieved September 15, 2013.
    47. "Apple A5X Die Size Measured: 162.94mm^2, Samsung 45nm LP Confirmed". AnandTech. Archived from the original on January 2, 2013. Retrieved November 1, 2012.
    48. "The Frequency of Apple A5X in the New iPad Confirmed: Still Running at 1GHz". AnandTech. Archived from the original on October 31, 2012. Retrieved November 1, 2012.
    49. "iPad 3 4G Teardown". iFixit. March 15, 2012. Step 15. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
    50. Apple Introduces iPhone 5, Apple.com, September 12, 2012, archived from the original on January 30, 2017, retrieved September 20, 2012
    51. "Apple: A6 chip in iPhone 5 has 2x CPU power, 2x graphics performance, yet consumes less energy". Archived from the original on September 14, 2013. Retrieved August 24, 2017.
    52. Apple's A6 CPU actually clocked at around 1.3 GHz, per new Geekbench report, Engadget, September 26, 2012, archived from the original on September 29, 2012, retrieved September 26, 2012
    53. Shimpi, Anand Lal (September 15, 2012). "The iPhone 5's A6 SoC: Not A15 or A9, a Custom Apple Core Instead". AnandTech. Archived from the original on December 29, 2012. Retrieved September 15, 2012.
    54. Shimpi, Anand Lal; Klug, Brian; Gowri, Vivek (October 16, 2012). "The iPhone 5 Review - Decoding Swift". AnandTech. Archived from the original on November 24, 2012. Retrieved October 17, 2012.
    55. "Apple A6 Die Revealed: 3-core GPU, <100mm^2". AnandTech. September 21, 2012. Archived from the original on September 22, 2012. Retrieved September 22, 2012.
    56. "Apple iPhone 5 – the A6 Application Processor". Chipworks. September 21, 2012. Archived from the original on September 22, 2013. Retrieved September 15, 2013.
    57. "Apple Introduces iPad mini". Apple. October 23, 2012. Archived from the original on September 12, 2013. Retrieved September 16, 2013.
    58. Shimpi, Anand Lal (November 2, 2012). "iPad 4 GPU Performance Analyzed: PowerVR SGX 554MP4 Under the Hood". AnandTech. Archived from the original on September 22, 2013. Retrieved September 16, 2013.
    59. "Inside the Apple iPad 4 – A6X a very new beast!". Chipworks. November 1, 2012. Archived from the original on May 18, 2015. Retrieved September 15, 2013.
    60. "Apple Announces iPhone 5s—The Most Forward-Thinking Smartphone in the World". Apple. September 10, 2013. Archived from the original on September 13, 2013. Retrieved September 13, 2013.
    61. Crothers, Brooke. "iPhone 5S' A7 chip is first 64-bit processor for smartphones". CNET. Archived from the original on February 22, 2020. Retrieved July 1, 2020.
    62. Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: A7 SoC Explained". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
    63. Shimpi, Anand Lal (October 29, 2013). "The iPad Air Review: iPhone to iPad: CPU Changes". AnandTech. Archived from the original on November 1, 2013. Retrieved October 30, 2013.
    64. Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: The Move to 64-bit". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
    65. Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: After Swift Comes Cyclone". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
    66. Lattner, Chris (September 10, 2013). "[LLVMdev] A7 processor support?". llvm-dev (Mailing list). Archived from the original on September 24, 2015. Retrieved July 9, 2017.
    67. Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: GPU Architecture". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
    68. Cunningham, Andrew (September 10, 2013). "Apple unveils 64-bit iPhone 5S with fingerprint scanner, $199 for 16GB". Ars Technica. Archived from the original on September 12, 2013. Retrieved September 12, 2013.
    69. Tanner, Jason; Morrison, Jim; James, Dick; Fontaine, Ray; Gamache, Phil (September 20, 2013). "Inside the iPhone 5s". Chipworks. Archived from the original on August 3, 2014. Retrieved September 20, 2013.
    70. "Apple Announces iPhone 6 & iPhone 6 Plus—The Biggest Advancements in iPhone History" (Press release). Apple. September 9, 2014. Archived from the original on September 9, 2014. Retrieved September 9, 2014.
    71. Savov, Vlad (September 9, 2014). "iPhone 6 and iPhone 6 Plus have a new faster A8 processor". The Verge. Vox Media. Archived from the original on September 10, 2014. Retrieved September 9, 2014.
    72. "HomePod Teardown". iFixit. February 12, 2018. Archived from the original on February 12, 2018. Retrieved February 13, 2018.
    73. "The iPhone 6 Review: A8's CPU: What Comes After Cyclone?". AnandTech. September 30, 2014. Archived from the original on May 15, 2015. Retrieved September 30, 2014.
    74. "The iPhone 6 Review: A8: Apple's First 20nm SoC". AnandTech. September 30, 2014. Archived from the original on October 1, 2014. Retrieved September 30, 2014.
    75. Kanter, David. "A Look Inside Apple's Custom GPU for the iPhone". Archived from the original on August 27, 2019. Retrieved August 27, 2019.
    76. Smith, Ryan (September 9, 2014). "Apple Announces A8 SoC". AnandTech. Archived from the original on September 10, 2014. Retrieved September 9, 2014.
    77. "Inside the iPhone 6 and iPhone 6 Plus". Chipworks. September 19, 2014. Archived from the original on September 24, 2014. Retrieved September 20, 2014.
    78. Anthony, Sebastian. "Apple's A8 SoC analyzed: The iPhone 6 chip is a 2-billion-transistor 20nm monster". www.extremetech.com. ExtremeTech. Archived from the original on September 11, 2014. Retrieved September 10, 2014.
    79. "Apple Introduces iPad Air 2—The Thinnest, Most Powerful iPad Ever" (Press release). Apple. October 16, 2014. Archived from the original on October 18, 2014. Retrieved October 16, 2014.
    80. "iPad Air 2 - Performance". Apple. October 16, 2014. Archived from the original on October 16, 2014. Retrieved October 16, 2014.
    81. "Apple A8X's GPU - GXA6850, Even Better Than I Thought". Anandtech. November 11, 2014. Archived from the original on November 30, 2014. Retrieved November 12, 2014.
    82. "Apple Introduces iPhone 6s & iPhone 6s Plus" (Press release). Apple. September 9, 2015. Archived from the original on September 11, 2015. Retrieved September 9, 2015.
    83. "Apple Introduces iPad Pro Featuring Epic 12.9-inch Retina Display" (Press release). Apple. September 9, 2015. Archived from the original on September 11, 2015. Retrieved September 9, 2015.
    84. "Apple's new iPad Pro is an expansive 12.9 inches, available in November". Ars Technica. Archived from the original on March 24, 2017. Retrieved September 9, 2015.
    85. "Apple Introduces iPhone 7 & iPhone 7 Plus —The Best, Most Advanced iPhone Ever" (Press release). Apple Inc. September 7, 2016. Archived from the original on September 16, 2016. Retrieved September 16, 2016.
    86. "iPod touch". Apple. Archived from the original on October 24, 2017. Retrieved August 15, 2019.
    87. "iPad Pro, in 10.5-inch and 12.9-inch models, introduces the world's most advanced display and breakthrough performance" (Press release). Apple Inc. June 5, 2017. Archived from the original on June 5, 2017. Retrieved June 5, 2017.
    88. Wei, Andy (June 29, 2017). "10 nm Process Rollout Marching Right Along". TechInsights. Archived from the original on August 3, 2017. Retrieved June 30, 2017.
    89. "iPhone 8 and iPhone 8 Plus: A new generation of iPhone" (Press release). Apple Inc. September 12, 2017. Archived from the original on September 12, 2017. Retrieved September 12, 2017.
    90. "iPhone 8:A11 Bionic". Apple Inc. September 12, 2017. Archived from the original on November 1, 2017. Retrieved September 12, 2017.
    91. "Apple's 'Neural Engine' Infuses the iPhone With AI Smarts". Wired. ISSN 1059-1028. Archived from the original on March 30, 2018. Retrieved July 1, 2020.
    92. "A12 Bionic". Apple Inc. September 12, 2018. Archived from the original on November 16, 2018. Retrieved November 22, 2018.
    93. Summers, Nick (September 12, 2018). "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Archived from the original on September 13, 2018. Retrieved September 12, 2018.
    94. "iPhone Xs and iPhone Xs Max bring the best and biggest displays to iPhone" (Press release). Apple Inc. September 12, 2018. Archived from the original on April 27, 2019. Retrieved September 12, 2018.
    95. Smith, Ryan (September 12, 2018). "Apple Announces the 2018 iPhones: iPhone XS, iPhone XS Max, & iPhone XR". AnandTech. Archived from the original on September 13, 2018. Retrieved September 12, 2018.
    96. "New iPad Pro with all-screen design Is most advanced, powerful iPad ever" (Press release). Apple. October 30, 2018. Archived from the original on October 30, 2018. Retrieved October 30, 2018.
    97. Miller, Chance (March 18, 2020). "Apple unveils new iPad Pro with backlit Magic Keyboard case, available to order today". 9to5Mac. Archived from the original on March 18, 2020. Retrieved March 18, 2020.
    98. Welch, Chris (June 22, 2020). "Apple announces Mac mini powered by its own chips for developers". The Verge. Archived from the original on June 22, 2020. Retrieved June 23, 2020.
    99. "Apple A13 Bionic: iPhone 11 processor features and specs detailed". Trusted Reviews. September 10, 2019. Retrieved August 19, 2020.
    100. Alderson, Alex (September 15, 2020). "Apple unveils the A14 Bionic, the world's first 5 nm chipset with 11.8 billion transistors and sizeable performance gains over the A13 Bionic". Notebookcheck.
    101. Kleinman, Jacob (September 9, 2014). "Apple Watch Uses a New S1 Chip & Heart Rate Monitor". Archived from the original on September 10, 2014. Retrieved September 10, 2014.
    102. "Apple Watch Series 3 brings built-in cellular, powerful new health and fitness enhancements" (Press release). Apple Inc. September 12, 2017. Archived from the original on September 13, 2017. Retrieved September 13, 2017.
    103. "Apple introduces HomePod mini: A powerful smart speaker with amazing sound" (Press release). Apple Inc. October 13, 2020. Retrieved October 13, 2020.
    104. Troughton-Smith, Steve [@stroughtonsmith] (September 18, 2019). "According to Xcode, Apple Watch Series 5 has the same generation CPU/GPU as the Apple Watch Series 4; I guess the only changes are a gyro and 32GB of NAND? The plus side of that is that we won't have to worry about watchOS being slower on the Series 4 than on a brand new model" (Tweet) via Twitter.
    105. "Apple Watch Series 6 delivers breakthrough wellness and fitness capabilities" (Press release). Apple Inc. September 15, 2020. Retrieved September 19, 2020.
    106. "Apple Watch - Compare Models". Apple. Retrieved September 17, 2020.
    107. Smith, Ryan (October 27, 2016). "Apple Announces 4th Generation MacBook Pro Family: Thinner, Lighter, with Thunderbolt 3 & "Touchbar"". Anandtech. Archived from the original on October 29, 2016. Retrieved October 27, 2016.
    108. Cunningham, Andrew (October 28, 2016). "15 hours with the 13" MacBook Pro, and how Apple's T1 bridges ARM and Intel". Ars Technica. Archived from the original on April 14, 2017. Retrieved December 4, 2018.
    109. Parrish, Kevin (July 24, 2018). "Apple's T2 chip may be causing issues in iMac Pro and 2018 MacBook Pros". DigitalTrends. Archived from the original on September 18, 2018. Retrieved January 22, 2019. Of all the error messages uploaded to these threads, there is one detail they seem to share: Bridge OS. This is an embedded operating system used by Apple’s stand-alone T2 security chip, which provides the iMac Pro with a secure boot, encrypted storage, live “Hey Siri” commands, and so on.
    110. Troughton-Smith, Steven [@stroughtonsmith] (October 27, 2016). "And there you have it. Apple's T1 chip runs an iOS (technically watchOS for armv7k) variant" (Tweet) via Twitter.
    111. "iMac Pro Features Apple's Custom T2 Chip With Secure Boot Capabilities". MacRumors. December 14, 2017. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
    112. Evans, Jonny. "The MacBook Pro's T2 chip boosts enterprise security". ComputerWorld. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
    113. "The T2 chip makes the iMac Pro the start of a Mac revolution". Macworld. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
    114. "iMac Pro debuts custom Apple T2 chip to handle secure boot, password encryption, more". AppleInsider. December 12, 2017. Archived from the original on December 13, 2017. Retrieved December 14, 2017.
    115. "Everything you need to know about Apple's T2 chip in the 2018 MacBook Pro". AppleInsider. August 8, 2018. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
    116. Rossignol, Joe (July 12, 2018). "Apple Launches 2018 MacBook Pros: 8th Gen Core, Up to 32GB of RAM, Third-Gen Keyboard, Quad-Core on 13-Inch and More". MacRumors. Archived from the original on July 12, 2018. Retrieved July 12, 2018.
    117. "Apple updates MacBook Pro with faster performance and new features for pros". Apple Inc. Archived from the original on July 12, 2018. Retrieved July 12, 2018.
    118. Broussard, Mitchel (October 30, 2018). "Apple Announces New MacBook Air With 13-Inch Retina Display and Touch ID". MacRumors. Archived from the original on October 31, 2018. Retrieved October 30, 2018.
    119. Hardwick, Tim (October 30, 2018). "Apple Announces New Space Gray Mac mini With 4-Core or 6-Core Intel Processor and Up to 64GB RAM, Starting at $799". MacRumors. Archived from the original on October 31, 2018. Retrieved October 30, 2018.
    120. "27-inch iMac gets a major update" (Press release). Apple Inc. August 4, 2020.
    121. "Hackers claim they can now jailbreak Apple's T2 security chip". ZDNET. October 6, 2020.
    122. Apple, Download the iDB app About | Contact | Disclosure | Privacy | Unsubscribe 2021 © iDownloadBlog com-This website is not affiliated with (October 29, 2020). "Checkra1n tinkerer demonstrates custom boot sound on T2-equipped Mac". iDownloadBlog.com. Retrieved January 19, 2021.
    123. "Apple T2 hack means you can have PS5 sounds be your startup chime". iMore. November 23, 2020. Retrieved January 19, 2021.
    124. Tilley, Aaron. "Apple Creates Its First Wireless Chip For New Wireless Headphones, AirPods". Forbes. Archived from the original on April 9, 2018. Retrieved August 24, 2017.
    125. "Apple Announces New Line of Beats Headphones With W1 Wireless Chip". MacRumors. Archived from the original on September 10, 2016. Retrieved September 8, 2016.
    126. "Apple's AirPods do use Bluetooth and they don't require an iPhone 7". Recode. Archived from the original on September 8, 2016. Retrieved September 8, 2016.
    127. "AirPods". Apple Inc. Archived from the original on September 18, 2017. Retrieved September 8, 2017.
    128. "Apple Watch Series 4". Apple Inc. Archived from the original on September 12, 2018. Retrieved September 13, 2018.
    129. "Apple Watch - Compare Models". Apple Inc. Archived from the original on July 12, 2017. Retrieved September 13, 2018.
    130. Mayo, Benjamin. "New Apple AirPods now available: H1 chip, wireless charging case, hands-free Hey Siri". 9to5Mac. Archived from the original on March 21, 2019. Retrieved March 20, 2019.
    131. "AirPods, the world's most popular wireless headphones, are getting even better". Apple Newsroom. Apple Inc. Archived from the original on June 21, 2019. Retrieved March 21, 2019.
    132. "AirPods (2nd generation)". Apple. The H1 chip also drives voice-enabled Siri access and delivers up to 30 percent lower gaming latency.
    133. "Apple M1 Chip". Apple. November 10, 2020. Archived from the original on November 10, 2020. Retrieved November 10, 2020.
    134. "The Lightning Digital AV adapter surprise". Panic.com. March 1, 2013. Retrieved January 16, 2021.
    135. "User comment: Airplay is not involved in the operation of this adapter". Panic.com. March 2, 2013. Retrieved January 16, 2021.
    136. Shimpi, Anand Lal; Klug, Brian (October 31, 2011). "Apple iPhone 4S: Thoroughly Reviewed - The Memory Interface". AnandTech. Archived from the original on November 29, 2013. Retrieved September 15, 2013.
    137. Wiens, Kyle (April 5, 2010). "Apple A4 Teardown". iFixit. Step 20. Archived from the original on August 10, 2013. Retrieved April 15, 2010. cIt's quite challenging to identify block-level logic inside a processor, so to identify the GPU we're falling back to software: early benchmarks are showing similar 3D performance to the iPhone, so we're guessing that the iPad uses the same PowerVR SGX 535 GPU.
    138. Shimpi, Anand Lal (September 2012). "The iPhone 5 Performance Preview". AnandTech. Archived from the original on December 29, 2012. Retrieved October 24, 2012.
    139. "The Apple iPad Review (2012)". AnandTech. Archived from the original on November 5, 2012. Retrieved November 1, 2012.
    140. "Apple A6 Teardown". iFixit. September 25, 2012. Archived from the original on June 18, 2020. Retrieved June 19, 2020.
    141. "Xcode 6 drops armv7s". Cocoanetics. October 10, 2014. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
    142. "The iPhone 5 Performance Preview". AnandTech. Archived from the original on December 29, 2012. Retrieved November 1, 2012.
    143. "iPhone 5 Benchmarks Appear in Geekbench Showing a Dual Core, 1GHz A6 CPU". Archived from the original on September 18, 2012. Retrieved September 16, 2012.
    144. Shimpi, Anand Lal; Klug, Brian (September 15, 2012). "iPhone 5 Memory Size and Speed Revealed: 1 GB LPDDR2-1066". AnandTech. Archived from the original on December 29, 2012. Retrieved September 16, 2012.
    145. Lai Shimpi, Anand (October 29, 2013). "The iPad Air Review: GPU Performance". AnandTech. Archived from the original on November 1, 2013. Retrieved October 30, 2013.
    146. "iPad 4 (Late 2012) Review". AnandTech. Archived from the original on May 30, 2013. Retrieved July 10, 2013.
    147. "Correcting Apple's A9 SoC L3 Cache Size: A 4MB Victim Cache". AnandTech. November 30, 2015. Archived from the original on December 1, 2015. Retrieved December 1, 2015.
    148. "The Apple iPad Air 2 Review". AnandTech. Archived from the original on November 12, 2014. Retrieved November 12, 2014.
    149. "Inside the iPad Air". Chipworks. November 1, 2013. Archived from the original on May 8, 2015. Retrieved November 12, 2013.
    150. "Apple's A8 SoC analyzed". ExtremeTech. September 10, 2014. Archived from the original on September 11, 2014. Retrieved September 11, 2014.
    151. Chester, Brandon (July 15, 2015). "Apple Refreshes The iPod Touch With A8 SoC And New Cameras". Archived from the original on September 5, 2015. Retrieved September 11, 2015.
    152. "Chipworks Disassembles Apple's A8 SoC: GX6450, 4MB L3 Cache & More". AnandTech. September 23, 2014. Archived from the original on September 23, 2014. Retrieved September 23, 2014.
    153. "Imagination PowerVR GX6450". NOTEBOOKCHECK. September 23, 2014. Archived from the original on September 25, 2014. Retrieved September 24, 2014.
    154. "Imagination PowerVR GXA6850 - NotebookCheck.net Tech". NotebookCheck.net. November 26, 2014. Archived from the original on November 29, 2014. Retrieved November 26, 2014.
    155. Ho, Joshua (September 9, 2015). "Apple Announces the iPhone 6s and iPhone 6s Plus". Archived from the original on September 10, 2015. Retrieved September 10, 2015.
    156. "Apple's A9 SoC Is Dual Sourced From Samsung & TSMC". Anandtech. September 28, 2015. Archived from the original on September 30, 2015. Retrieved September 29, 2015.
    157. "iPhone 6s customer receives her device early, benchmarks show a marked increase in power". iDownloadBlog. September 21, 2015. Archived from the original on September 24, 2015. Retrieved September 25, 2015.
    158. "A9's CPU: Twister - The Apple iPhone 6s and iPhone 6s Plus Review". AnandTech. November 2, 2015. Archived from the original on January 18, 2016. Retrieved November 4, 2015.
    159. "Inside the iPhone 6s". Chipworks. September 25, 2015. Archived from the original on February 3, 2017. Retrieved September 26, 2015.
    160. "A9's GPU: Imagination PowerVR GT7600 - The Apple iPhone 6s and iPhone 6s Plus Review". AnandTech. November 2, 2015. Archived from the original on November 5, 2015. Retrieved November 4, 2015.
    161. "More on Apple's A9X SoC: 147mm2@TSMC, 12 GPU Cores, No L3 Cache". AnandTech. November 30, 2015. Archived from the original on December 1, 2015. Retrieved December 1, 2015.
    162. "The A9X SoC & More To Come - The iPad Pro Preview: Taking Notes With iPad Pro". AnandTech. November 11, 2015. Archived from the original on November 13, 2015. Retrieved November 11, 2015.
    163. "iPad Pro review: Mac-like speed with all the virtues and restrictions of iOS". AnandTech. November 11, 2015. Archived from the original on November 11, 2015. Retrieved November 11, 2015.
    164. techinsights.com. "Apple iPhone 7 Teardown". www.chipworks.com. Archived from the original on September 16, 2016. Retrieved September 16, 2016.
    165. "Kernel Changes for Objective-C". developer.apple.com. Archived from the original on August 8, 2017. Retrieved October 1, 2016.
    166. "iPhone 7 GPU breakdown". Wccftech. December 2016. Archived from the original on December 5, 2016. Retrieved February 1, 2017.
    167. Agam Shah (December 2016). "The mysteries of the GPU in Apple's iPhone 7 are unlocked". PC World. Archived from the original on January 28, 2017. Retrieved February 1, 2017.
    168. "Intel Core i5-8250U vs Apple A10 Fusion". GadgetVersus. Archived from the original on December 27, 2019. Retrieved December 27, 2019.
    169. "iPad Pro 10.5" Teardown". iFixit. June 13, 2017. Archived from the original on June 17, 2017. Retrieved June 14, 2017.
    170. Smith, Ryan (June 29, 2017). "TechInsights Confirms Apple's A10X SoC Is TSMC 10nm FF; 96.4mm2 Die Size". AnandTech. Archived from the original on July 2, 2017. Retrieved June 30, 2017.
    171. "Apple iPhone 8 Plus Teardown". TechInsights. September 27, 2017. Archived from the original on September 27, 2017. Retrieved September 28, 2017.
    172. "Apple A11 New Instruction Set Extensions" (PDF). Apple Inc. June 8, 2018. Archived (PDF) from the original on October 8, 2018. Retrieved October 9, 2018.
    173. "Measured and Estimated Cache Sizes". AnandTech. October 5, 2018. Archived from the original on October 6, 2018. Retrieved October 6, 2018.
    174. techinsights.com. "Apple iPhone 8 Plus Teardown". techinsights.com. Archived from the original on October 9, 2018. Retrieved October 9, 2018.
    175. "MT53D384M64D4NY-046 XT:D Micron Technology Inc. | Integrated Circuits (ICs) | DigiKey". www.digikey.com. Archived from the original on October 9, 2018. Retrieved October 9, 2018.
    176. "Apple iPhone Xs Max Teardown". TechInsights. September 21, 2018. Archived from the original on September 21, 2018. Retrieved September 21, 2018.
    177. "Apple A12 Pointer Authentication Codes". Jonathan Levin, @Morpheus. September 12, 2018. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
    178. "New iPhone XS, XS Max and XR benchmarked, RAM revealed". GSMArena.com. Archived from the original on September 13, 2018. Retrieved September 13, 2018.
    179. techinsights.com. "Apple iPhone XS Teardown". www.techinsights.com. Archived from the original on October 9, 2018. Retrieved October 9, 2018.
    180. "MT53D512M64D4SB-046 XT:E Micron | Mouser". Mouser Electronics. Retrieved October 9, 2018.
    181. "The Packaging of Apple's A12X is… Weird". Dick James of Chipworks. January 16, 2019. Archived from the original on January 29, 2019. Retrieved January 28, 2019.
    182. "iPad Pro (11-inch)". Geekbench Browser. January 28, 2019. Archived from the original on January 29, 2019. Retrieved January 28, 2019.
    183. "Apple iPhone 11 Pro Max Teardown | TechInsights". www.techinsights.com. Archived from the original on September 27, 2019. Retrieved September 27, 2019.
    184. "A13 has ARMv8.4, apparently (LLVM project sources, thanks, @Longhorn)". Jonathan Levin, @Morpheus. March 13, 2020. Archived from the original on March 10, 2020. Retrieved March 13, 2020.
    185. "The Apple A13 SoC: Lightning & Thunder". AnandTech. October 27, 2019. Archived from the original on October 26, 2019. Retrieved October 27, 2019.
    186. "Apple A13 Bionic". Archived from the original on July 8, 2020. Retrieved July 7, 2020.
    187. Patel, Dylan (October 27, 2020). "Apple's A14 Packs 134 Million Transistors/mm², but Falls Short of TSMC's Density Claims". SemiAnalysis. Retrieved October 29, 2020.
    188. "Teardown shows Apple Watch S1 chip has custom CPU, 512MB RAM, 8GB storage". AppleInsider. Archived from the original on May 2, 2015. Retrieved April 30, 2015.
    189. Jim Morrison and Daniel Yang (April 24, 2015). "Inside the Apple Watch: Technical Teardown". Chipworks. Archived from the original on May 18, 2015. Retrieved May 8, 2015.CS1 maint: uses authors parameter (link)
    190. Ho, Joshua; Chester, Brandon (July 20, 2015). "The Apple Watch Review: Apple S1 Analysis". AnandTech. Archived from the original on July 22, 2015. Retrieved July 20, 2015.
    191. "Steve Troughton-Smith on Twitter". Archived from the original on March 3, 2016. Retrieved June 25, 2015.
    192. "Apple Watch runs 'most' of iOS 8.2, may use A5-equivalent processor". AppleInsider. Archived from the original on April 26, 2015. Retrieved April 25, 2015.
    193. Ho, Joshua; Chester, Brandon (July 20, 2015). "The Apple Watch Review". AnandTech. Archived from the original on July 20, 2015. Retrieved July 20, 2015.
    194. Chester, Brandon (December 20, 2016). "The Apple Watch Series 2 Review: Building Towards Maturity". AnandTech. Archived from the original on October 22, 2017. Retrieved February 10, 2018.
    195. "We Just Took Apart the Apple Watch Series 1—Here's What We Found Out". Archived from the original on January 24, 2018. Retrieved January 5, 2018.
    196. "Apple introduces Apple Watch Series 2". Archived from the original on November 16, 2017. Retrieved February 11, 2018.
    197. "Apple CPU Architectures". Jonathan Levin, @Morpheus. September 20, 2018. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
    198. "ILP32 for AArch64 Whitepaper". ARM Limited. June 9, 2015. Archived from the original on December 30, 2018. Retrieved October 9, 2018.
    199. "Apple devices in 2018". woachk, security researcher. October 6, 2018.
    200. "MacBook Pro 13" Touch Bar Teardown". iFixit. November 15, 2016. Archived from the original on November 16, 2016. Retrieved November 17, 2016.
    201. "iMac Pro Teardown". iFixit. January 2, 2018. Archived from the original on January 3, 2018. Retrieved January 3, 2018.
    202. techinsights.com. "Apple W1 343S00131 Bluetooth Module". w2.techinsights.com. Archived from the original on February 18, 2017. Retrieved February 17, 2017.
    203. techinsights.com. "Apple Watch Series 3 Teardown". techinsights.com. Archived from the original on October 14, 2017. Retrieved October 14, 2017.
    204. techinsights.com. "Apple W3 338S00464 Wireless Combo SoC Basic Functional Analysis". techinsights.com. Archived from the original on March 28, 2020. Retrieved March 28, 2020.
    205. "AirPods 2 Teardown". iFixitaccess-date=2019-04-04. March 28, 2019. Archived from the original on April 4, 2019. Retrieved April 4, 2019.
    206. "H2 Audio AirPods 2 Teardown". 52 Audio. April 26, 2019. Archived from the original on March 29, 2020. Retrieved March 29, 2020.
    207. "AirPods Max Teardown". iFixit. December 17, 2020. Retrieved January 3, 2021.
    208. "AirPods Pro Teardown". iFixit. August 31, 2019. Retrieved January 6, 2021.
    209. "Solo Pro". Beats by Dre. Archived from the original on October 15, 2019. Retrieved October 15, 2019.
    210. "Apple U1 TMKA75 Ultra Wideband (UWB) Chip Analysis | TechInsights". www.techinsights.com. Retrieved July 30, 2020.
    211. https://en.wikichip.org/wiki/apple/mx/m1. Missing or empty |title= (help)
    212. Frumusanu, Andrei. "The 2020 Mac Mini Unleashed: Putting Apple Silicon M1 To The Test". www.anandtech.com. Retrieved November 19, 2020.

    Further reading

    This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.